The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DFT"( http://dblp.L3S.de/Venues/DFT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dft

Publication years (Num. hits)
1993 (44) 1994 (34) 1995 (35) 1996 (40) 1997 (37) 1998 (42) 1999 (46) 2000 (45) 2001 (56) 2002 (46) 2003 (72) 2004 (57) 2005 (67) 2006 (64) 2007 (57) 2008 (64) 2009 (57) 2010 (55) 2011 (57) 2012 (42) 2014 (51) 2016 (32) 2017 (40) 2018 (24) 2019 (34) 2020 (36) 2021 (37) 2022 (31) 2023 (47)
Publication types (Num. hits)
inproceedings(1320) proceedings(29)
Venues (Conferences, Journals, ...)
DFT(1349)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 272 keywords

Results
Found 1349 publication records. Showing 1349 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Masoumeh Ebrahimi, Junshi Wang, Letian Huang, Masoud Daneshtalab, Axel Jantsch Rescuing healthy cores against disabled routers. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pilin Junsangsri, Jie Han 0001, Fabrizio Lombardi A system-level scheme for resistance drift tolerance of a multilevel phase change memory. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Rohit Thomas, Rahul Thomas, Israel Koren, Zahava Koren Improved correction for hot pixels in digital imagers. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Halit Dogan, Domenic Forte, Mark Mohammad Tehranipoor Aging analysis for recycled FPGA detection. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Paniz Foroutan, Mehdi Kamal, Zainalabedin Navabi A heuristic path selection method for small delay defects test. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anup Das 0001, Akash Kumar 0001, Bharadwaj Veeravalli Artificial intelligence based task mapping and pipelined scheduling for checkpointing on real time systems with imperfect fault detection. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammad Hashem Haghbayan, Bijan Alizadeh, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Automated formal approach for debugging dividers using dynamic specification. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2014, Amsterdam, The Netherlands, October 1-3, 2014 Search on Bibsonomy DFT The full citation details ... 2014 DBLP  BibTeX  RDF
1Paolo Bernardi, Riccardo Cantoro, Lyl M. Ciganda Brasca, Ernesto Sánchez 0001, Matteo Sonza Reorda, Sergio de Luca, Renato Meregalli, Alessandro Sansonetti On the in-field functional testing of decode units in pipelined RISC processors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Md. Tauhidur Rahman 0001, Domenic Forte, Quihang Shi, Gustavo K. Contreras, Mark Mohammad Tehranipoor CSST: Preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jorge Semião, David Saraiva, Carlos Leong, André Romão, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Performance sensor for tolerance and predictive detection of delay-faults. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shahrzad Keshavarz, Amirreza Nekooei, Zainalabedin Navabi Preemptive multi-bit IJTAG testing with reconfigurable infrastructure. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Martin Omaña 0001, Daniele Rossi 0001, Edda Beniamino, Cecilia Metra, Chandra Tirumurti, Rajesh Galivanche Power droop reduction during Launch-On-Shift scan-based logic BIST. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stefano Di Carlo, Marco Indaco, Paolo Prinetto, Elena I. Vatajelu, Rosa Rodríguez-Montañés, Joan Figueras Reliability estimation at block-level granularity of spin-transfer-torque MRAMs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alirad Malek, Stavros Tzilis, Danish Anis Khan, Ioannis Sourdis, Georgios Smaragdos, Christos Strydis A probabilistic analysis of resilient reconfigurable designs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Florian Haas, Sebastian Weis, Stefan Metzlaff, Theo Ungerer Exploiting Intel TSX for fault-tolerant execution in safety-critical systems. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Energy-efficient concurrent testing approach for many-core systems in the dark silicon age. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniel A. G. de Oliveira, Paolo Rech, Laércio Lima Pilla, Philippe Olivier Alexandre Navaux, Luigi Carro GPGPUs ECC efficiency and efficacy. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Prashant D. Joshi, Said Hamdioui Security methods in fault tolerant modified line graph based networks. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Miao Tony He, Mohammad Tehranipoor SAM: A comprehensive mechanism for accessing embedded sensors in modern SoCs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bahareh J. Farahani, Saeed Safari An instance-based SER analysis in the presence of PVTA variations. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Prashant D. Joshi, Said Hamdioui Shortest path reduction in a class of uniform fault tolerant networks. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bartolomeo Montrucchio, Maurizio Rebaudengo, Alejandro Velasco Fault injection in the process descriptor of a Unix-based operating system. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tiago A. O. Alves, Leandro A. J. Marzulo, Sandip Kundu, Felipe Maia Galvão França Domino effect protection on dataflow error detection and recovery. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Senwen Kan, Jennifer Dworak Triggering Trojans in SRAM circuits with X-propagation. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Angelo Bacchini, Marco Rovatti, Gianluca Furano, Marco Ottavi Characterization of data retention faults in DRAM devices. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hossein Sayadi, Hamed Farbeh, Amir Mahdi Hosseini Monazzah, Seyed Ghassem Miremadi A data recomputation approach for reliability improvement of scratchpad memory in embedded systems. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yongsuk Choi, Chun-hsiang Chang, In-Seok Jung, Marvin Onabajo, Yong-Bin Kim A built-in calibration system with a reduced FFT engine for linearity optimization of low power LNA. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chuanlei Zheng, Shuai Wang 0006 Characterizing soft error vulnerability of cache coherence protocols for chip-multiprocessors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Manoj Kumar 0001, Vijay Laxmi, Manoj Singh Gaur, Masoud Daneshtalab, Masoumeh Ebrahimi, Mark Zwolinski Fault tolerant and highly adaptive routing for 2D NoCs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hassen Aziza, Haithem Ayari, Santhosh Onkaraiah, Jean-Michel Portal, Mathieu Moreau, Marc Bocquet Oxide based resistive RAM: ON/OFF resistance analysis versus circuit variability. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei Wei 0034, Fabrizio Lombardi, Kazuteru Namba Designs and analysis of non-volatile memory cells for single event upset (SEU) tolerance. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Richard A. Guinee A novel pseudonoise tester for transmission line fault location and identification using pseudorandom binary sequences. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Stefanos Valadimas, Yiorgos Tsiatouhas, Angela Arapoyanni, Adrian Evans Single event upset tolerance in flip-flop based microprocessor cores. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sreenivas Gangadhar, Spyros Tragoudas Accurate calculation of SET propagation probability for hardening. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Linus Feiten, Matthias Sauer 0002, Tobias Schubert 0001, Alexander Czutro, Eberhard Böhl, Ilia Polian, Bernd Becker 0001 #SAT-based vulnerability analysis of security components - A case study. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Oscar Acevedo, Dimitri Kagaris Using the Berlekamp-Massey algorithm to obtain LFSR characteristic polynomials for TPG. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yang Lu, Fabrizio Lombardi, Salvatore Pontarelli, Marco Ottavi On the design of two single event tolerant slave latches for scan delay testing. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tomohiro Yoneda, Masashi Imai Dependable routing in multi-chip NoC platforms for automotive applications. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ali Arabi M. Shahi, Payman Zarkesh-Ha Prediction of gate delay variation for CNFET under CNT density variation. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alireza Rohani, Hans G. Kerkhoff An on-line soft error mitigation technique for control logic of VLIW processors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohammad Maghsoudloo, Hamid R. Zarandi Dirty data vulnerability mitigation by means of sharing management in cache coherence protocols. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Juan Carlos Martínez Santos, Yunsi Fei Designing and implementing a Malicious 8051 processor. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Rohit Thomas, Israel Koren, Zahava Koren Relating digital imager defect rates to pixel size, sensor area and ISO. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Built-in generation of multi-cycle broadside tests. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jianping Gong, Yong-Bin Kim, Fabrizio Lombardi, Jie Han 0001 Hardening a memory cell for low power operation by gate leakage reduction. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Maintaining proximity to functional operation conditions under enhanced-scan tests based on functional broadside tests. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Generation and compaction of mixed broadside and skewed-load n-detection test sets for transition faults. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vadim Geurkov Optimal choice of arithmetic compactors for mixed-signal systems. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yifat Manzor, Osnat Keren Amalgamated q-ary codes for multi-level flash memories. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xuehui Zhang, Kan Xiao, Mohammad Tehranipoor Path-delay fingerprinting for identification of recovered ICs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kyu-Nam Shim, Jiang Hu A low overhead built-in delay testing with voltage and frequency adaptation for variation resilience. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Adam Watkins, Spyros Tragoudas Transient pulse propagation using the Weibull distribution function. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rance Rodrigues, Israel Koren, Sandip Kundu A mechanism to verify cache coherence transactions in multicore systems. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Muhammad Tauseef Rab, Asad Amin Bawa, Nur A. Touba Implementing defect tolerance in 3D-ICs by exploiting degrees of freedom in assembly. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kazuteru Namba, Takashi Katagiri, Hideo Ito Dual-edge-triggered FF with timing error detection capability. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gabriel L. Nazar, Luigi Carro Fast single-FPGA fault injection platform. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Antonio Miele, Chiara Sandionigi, Marco Ottavi, Salvatore Pontarelli, Adelio Salsano, Cecilia Metra, Martin Omaña 0001, Daniele Rossi 0001, Matteo Sonza Reorda, Luca Sterpone, Massimo Violante, Simone Gerardin, Marta Bagatin, Alessandro Paccagnella High-reliability fault tolerant digital systems in nanometric technologies: Characterization and design methodologies. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fabrizio Lombardi, Nohpill Park, Haider A. F. Almurib, T. Nandha Kumar On the multiple fault detection of a nano crossbar. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chuanlei Zheng, Parijat Shukla, Shuai Wang 0006, Jie S. Hu Exploring hardware transaction processing for reliable computing in chip-multiprocessors against soft errors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Marcelo de Souza Moraes, Marcos Barcellos Hervé, Marcelo Lubaszewski Low pin count DfT technique for RFID ICs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xinmu Wang, Tatini Mal-Sarkar, Aswin Raghav Krishna, Seetharam Narasimhan, Swarup Bhunia Software exploitable hardware Trojans in embedded processor. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Da Cheng, Sandeep K. Gupta 0001 A systematic methodology to improve yield per area of highly-parallel CMPs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2012, Austin, TX, USA, October 3-5, 2012 Search on Bibsonomy DFT The full citation details ... 2012 DBLP  BibTeX  RDF
1Seyab Khan, Said Hamdioui, Halil Kukner, Praveen Raghavan, Francky Catthoor Incorporating parameter variations in BTI impact on nano-scale logical gates analysis. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mehryar Rahmatian, Hessam Kooti, Ian G. Harris, Elaheh Bozorgzadeh Minimization of Trojan footprint by reducing Delay/Area impact. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wenpo Zhang, Kazuteru Namba, Hideo Ito Improving small-delay fault coverage for on-chip delay measurement. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mafalda Cortez, Apurva Dargar, Said Hamdioui, Geert Jan Schrijen Modeling SRAM start-up behavior for Physical Unclonable Functions. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Martin Omaña 0001, Daniele Rossi 0001, G. Collepalumbo, Cecilia Metra, Fabrizio Lombardi Faults affecting the control blocks of PV arrays and techniques for their concurrent detection. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ke Huang 0001, John M. Carulli Jr., Yiorgos Makris Parametric counterfeit IC detection via Support Vector Machines. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jean DaRolt, Amitabh Das, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Ingrid Verbauwhede A scan-based attack on Elliptic Curve Cryptosystems in presence of industrial Design-for-Testability structures. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cinzia Bernardeschi, Luca Cassano, Andrea Domenici, Luca Sterpone Accurate simulation of SEUs in the configuration memory of SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Asad Amin Bawa, Muhammad Tauseef Rab, Nur A. Touba Using partial masking in X-chains to increase output compaction for an X-canceling MISR. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Davide Sabena, Matteo Sonza Reorda, Luca Sterpone On the development of Software-Based Self-Test methods for VLIW processors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Luca Amati, Cristiana Bolchini, Fabio Salice Optimal Test Set Selection for Fault Diagnosis Improvement. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Uljana Reinsalu, Jaan Raik, Raimund Ubar, Peeter Ellervee Fast RTL Fault Simulation Using Decision Diagrams and Bitwise Set Operations. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2011, Vancouver, BC, Canada, October 3-5, 2011 Search on Bibsonomy DFT The full citation details ... 2011 DBLP  BibTeX  RDF
1Mohammad Hossein Neishaburi, Zeljko Zilic Hierarchical Embedded Logic Analyzer for Accurate Root-Cause Analysis. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniele Rossi 0001, Martin Omaña 0001, Cecilia Metra, Alessandro Paccagnella Impact of Aging Phenomena on Soft Error Susceptibility. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Khalid Latif 0002, Amir-Mohammad Rahmani, Ethiopia Nigussie, Hannu Tenhunen, Tiberiu Seceleanu A Novel Topology-Independent Router Architecture to Enhance Reliability and Performance of Networks-on-Chip. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mario Schölzel Fine-Grained Software-Based Self-Repair of VLIW Processors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hao Chen, Jie Han 0001, Fabrizio Lombardi A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rance Rodrigues, Israel Koren, Sandip Kundu An Architecture to Enable Life Cycle Testing in CMPs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Costas Argyrides, Ronaldo Rodrigues Ferreira, Carlos Arthur Lang Lisbôa, Luigi Carro Decimal Hamming: A Software-Implemented Technique to Cope with Soft Errors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mohammad Hossein Neishaburi, Zeljko Zilic A Fault Tolerant Hierarchical Network on Chip Router Architecture. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Behnam Ghavami, Mohsen Raji, Hossein Pedram, Omid Naghshineh Arjmand CNT-count Failure Characteristics of Carbon Nanotube FETs under Process Variations. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Muhammad Aamir Khan 0002, Hans G. Kerkhoff SoC Mixed-Signal Dependability Enhancement: A Strategy from Design to End-of-Life. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Gabriel L. Nazar, Luigi Carro An Area Effective Parity-Based Fault Detection Technique for FPGAs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xun Tang, Wu-Tung Cheng, Ruifeng Guo, Huaxing Tang, Sudhakar M. Reddy Diagnosis of Multiple Faults Based on Fault-Tuple Equivalence Tree. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Joon-Sung Yang, Rudrajit Datta Efficient Function Mapping in Nanoscale Crossbar Architecture. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Luigi Dilillo, Alberto Bosio, Miroslav Valka, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel Error Resilient Infrastructure for Data Transfer in a Distributed Neutron Detector. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tobias Koal, Daniel Scheit, Mario Schölzel, Heinrich Theodor Vierhaus On the Feasibility of Built-In Self Repair for Logic Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nachiket Rajderkar, Marco Ottavi, Salvatore Pontarelli, Jie Han 0001, Fabrizio Lombardi On the Effects of Intra-gate Resistive Open Defects in Gates at Nanoscaled CMOS. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masoud Zamani, Mehdi Baradaran Tahoori Online Missing/Repeated Gate Faults Detection in Reversible Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mohammad Hossein Neishaburi, Zeljko Zilic Debug Aware AXI-based Network Interface. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jongho Seol, Noh-Jin Park, K. M. George, Nohpill Park Modeling Yield of Self-Healing Carbon Nanotubes/Silicon-Nanowire FET-based Nanoarray. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Chiara Sandionigi A Reliability-Aware Partitioner for Multi-FPGA Platforms. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zahra Lak, Nicola Nicolici A New Algorithm for Post-Silicon Clock Measurement and Tuning. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sven Eisenhardt, Anja Küster, Thomas Schweizer, Tommy Kuhn, Wolfgang Rosenstiel Spatial and Temporal Data Path Remapping for Fault-Tolerant Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jinghang Liang, Jie Han 0001, Fabrizio Lombardi On the Reliable Performance of Sequential Adders for Soft Computing. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1349 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license