The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DSPs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1993 (17) 1994-1997 (23) 1998 (20) 1999 (20) 2000 (23) 2001 (20) 2002 (32) 2003 (23) 2004 (27) 2005 (34) 2006 (34) 2007 (29) 2008 (29) 2009-2010 (23) 2011-2013 (17) 2014-2016 (15) 2017-2022 (17) 2023-2024 (7)
Publication types (Num. hits)
article(100) incollection(4) inproceedings(304) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 314 occurrences of 223 keywords

Results
Found 410 publication records. Showing 410 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Michael Wolfe How compilers and tools differ for embedded systems. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Viktor K. Prasanna High Performance Computing using Reconfigurable Hardware. Search on Bibsonomy ENC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Rodolfo Pellizzoni, Giuseppe Lipari Improved Schedulability Analysis of Real-Time Transactions with Earliest Deadline Scheduling. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Chia-Hsien Liu, Tay-Jyi Lin, Chie-Min Chao, Pi-Chen Hsiao, Li-Chun Lin, Shin-Kai Chen, Chao-Wei Huang, Chih-Wei Liu, Chein-Wei Jen Hierarchical instruction encoding for VLIW digital signal processors. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Nobuhiko Sugino, Tomoyuki Matsuura, Akinori Nishihara New graph transformation schemes in graph-based memory allocation method for an indirect addressing DSP. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Sung Dae Kim, Jung Hoo Lee, J. M. Yang, Myung Hoon Sunwoo, Seung Keun Oh Novel instructions and their hardware architecture for video signal processing. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Francine Bacchini, Jan M. Rabaey, Allan Cox, Frank Lane, Rudy Lauwereins, Ulrich Ramacher, David Witt Wireless platforms: GOPS for cents and MilliWatts. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF implementation platforms, data communications, wireless architectures
11Michael Bramberger, Bernhard Rinner, Helmut Schwabach A Mobile Agent-Based System for Dynamic Task Allocation in Clusters of Embedded Smart Cameras. Search on Bibsonomy WISES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Carl Ebeling, Chris Fisher, Guanbin Xing, Manyuan Shen, Hui Liu 0011 Implementing an OFDM Receiver on the RaPiD Reconfigurable Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Data communications devices, application studies resulting in better multiple-processor systems, reconfigurable hardware, wireless systems, special-purpose and application-based systems, adaptable architectures, heterogeneous (hybrid) systems, design studies, signal processing systems
11Antonio Gentile, D. Scott Wills Portable Video Supercomputing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Hendrik Seidel, Emil Matús, Gordon Cichon, Pablo Robelly, Marcus Bronzel, Gerhard P. Fettweis Generated DSP Cores for Implementation of an OFDM Communication System. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Kerem Küçük, Mustafa Karakoç, Adnan Kavak Software Radio Implementation of a Smart Antenna System on Digital Signal Processors for cdma2000. Search on Bibsonomy CIT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Markus Lorenz, Peter Marwedel, Thorsten Dräger, Gerhard P. Fettweis, Rainer Leupers Compiler based exploration of DSP energy savings by SIMD operations. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Alexandru Turjan, Bart Kienhuis, Ed F. Deprettere An Integer Linear Programming Approach to Classify the Communication in Process Networks. Search on Bibsonomy SCOPES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Sumit Mohanty, Viktor K. Prasanna A Framework for Energy Efficient Design of Multi-rate Applications Using Hybrid Reconfigurable Systems. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Zachary K. Baker Efficient FPGA-Based Security Kernels. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Nick Tredennick, Brion Shimamoto Reconfigurable Systems Emerge. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Tomoya Kitani, Yoshifumi Takamoto, Keiichi Yasumoto, Akio Nakata, Teruo Higashino A Flexible and High-Reliable HW/SW Co-Design Method for Real-Time Embedded Systems. Search on Bibsonomy RTSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parametric model checking, real-time systems, high-level synthesis, HW/SW co-design
11Alexandru Turjan, Bart Kienhuis, Ed F. Deprettere Translating affine nested-loop programs to process networks. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF integer linear programming, process networks, heterogeneous embedded systems
11Moisès Serra, Pere Martí-Puig, Jordi Carrabina Implementation of a Channel Equalizer for OFDM Wireless LANs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Sujay Phadke, Rhishikesh Limaye, Siddharth Verma, Kavitha Subramanian On Design and Implementation of an Embedded Automatic Speech Recognition System. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Laszlo Hars Long Modular Multiplication for Cryptographic Applications. Search on Bibsonomy CHES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Quisquater multiplication, multiply-accumulate architecture, optimization, cryptography, Computer arithmetic, Montgomery multiplication, reciprocal, modular multiplication, Modular reduction
11Nathalie Julien, Johann Laurent, Eric Senn, Eric Martin 0001 Power Consumption Modeling and Characterization of the TI C6201. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Seonil Choi, Ju-wook Jang, Sumit Mohanty, Viktor K. Prasanna Domain-Specific Modeling for Rapid Energy Estimation of Reconfigurable Architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, energy optimization, domain-specific modeling, energy estimation
11Giorgio Zoia, Claudio Alberti A virtual DSP architecture for audio applications from a complexity analysis of MPEG-4 structured audio. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Alfredo Benso, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto Online Self-Repair of FIR Filters. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11F. Hunsinger, Sebastien Francois, Ahmed Amine Jerraya Definition of a systematic method for the generation of software test programs allowing the functional verification of System On Chip (SoC). Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Seonil Choi, Ronald Scrofano, Viktor K. Prasanna, Ju-wook Jang Energy-efficient signal processing using FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF energy efficient design techniques, matrix multiplicaiton, FPGA, FFT, performance estimation
11Desiree Ottoni, Guilherme Ottoni, Guido Araujo, Rainer Leupers Improving Offset Assignment through Simultaneous Variable Coalescing. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Gang-Ryung Uh Tailoring Software Pipelining for Effective Exploitation of Zero Overhead Loop Buffer. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Carl Ebeling, Chris Fisher, Guanbin Xing, Manyuan Shen, Hui Liu 0011 Implementing an OFDM Receiver on the RaPiD Reconfigurable Architecture. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11David J. Johnston, Martin Fleury, Andy C. Downton Multi-Paradigm Framework for Parallel Image Processing. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Sumit Mohanty, Viktor K. Prasanna A hierarchical approach for energy efficient application design using heterogeneous embedded systems. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF energy efficiency, design space exploration, performance estimation, heterogeneous embedded systems
11Alessandro Fin, Franco Fummi, Graziano Pravadelli Mixing ATPG and property checking for testing HW/SW interfaces. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF model cecking, ATPG, fault simulation
11Magesh Sadasivam, Sangjin Hong Application Specific Coarse-Grained FPGA for Processing Element in Real-Time Parallel Particle Filters. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Steven J. Vaughan-Nichols OFDM: Back to the Wireless Future. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Pierre G. Paulin, Miguel Santana FlexWare: A Retargetable Embedded-Software Development Environment. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Xiushan Feng, Alan J. Hu Automatic formal verification for scheduled VLIW code. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF theory of equality with uninterpreted functions, formal verification, DSP, symbolic execution, VLIW
11Christoph W. Keßler, Andrzej Bednarski Optimal integrated code generation for clustered VLIW architectures. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated code generation, space profile, dynamic programming, register allocation, instruction scheduling, instruction selection
11Xiaotong Zhuang, Santosh Pande, John S. Greenland Jr. A Framework for Parallelizing Load/Stores on Embedded Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Song Hun Kim, William H. Tranter, Scott F. Midkiff Middleware for a Distributed Reconfigurable Simulator. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Sungchul Yoon, Sangwook Kim, Jae Seuk Oh, Sungho Kang A New DSP Architecture for Correcting Errors Using Viterbi Algorithm. Search on Bibsonomy AISA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Kevin Skadron A microprocessor survey course for learning advanced computer architecture. Search on Bibsonomy SIGCSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Christian Kreiner, Christian Steger, Egon Teiniker, Reinhold Weiss A novel codesign approach based on distributed virtual machines. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Linux
11Cyprian Grassmann, Joachim K. Anlauf RACER - A Rapid Prototyping Accelerator for Pulsed Neural Networks. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Ahmed Amine Jerraya, Sungjoo Yoo, Aimen Bouchhima, Gabriela Nicolescu Validation in a Component-Based Design Flow for Multicore SoCs. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF validation, SoC, abstraction levels, component-based design, cosimulation
11Valérie Bertin, Jean-Marc Daveau, Philippe Guillaume, Thierry Lepley, Denis Pilat, Claire Richard, Miguel Santana, Thomas Thery FlexCC2: An Optimizing Retargetable C Compiler for DSP Processors. Search on Bibsonomy EMSOFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Jinhwan Kim, Sungjoon Jung, Yunheung Paek, Gang-Ryung Uh Experience with a retargetable compiler for a commercial network processor. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF non-orthogonal architecture, compiler, network processor
11Luis E. Anido-Rifón, Juan Manuel Santos, Manuel Caeiro, Judith S. Rodríguez An Online Environment Supporting High Quality Education in Computational Science. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Michael Hosemann, Gerhard P. Fettweis, Vladimir Nikolajevic, Rene Nüssgen Applications for the Highly Parallel Mobile Multimedia Modem M3-DSP. Search on Bibsonomy EUROMICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Yung-Chia Lin, Yuan-Shin Hwang, Jenq Kuen Lee Compiler Optimizations with DSP-Specific Semantic Descriptions. Search on Bibsonomy LCPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Holger Blume, H. Hübert, H. T. Feldkämper, Tobias G. Noll Model-Based Exploration of the Design Space for Heterogeneous Systems on Chip. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Dieter Bauer, Axel Plinge, Martin Finke Selective Phoneme Spotting for Realization of an /s, z, C, t/ Transposer. Search on Bibsonomy ICCHP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO: regular expression-based register-transfer level testability analysis and optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Elana D. Granston, Eric Stotzer, Joe Zbiciak Software Pipelining Irregular Loops on the TMS320C6000 VLIW DSP Architecture. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF WHILE loops, software pipelining, digital signal processors, VLIW architectures
11Christian Kreiner, Christian Steger, Egon Teiniker, Reinhold Weiss A HW/SW Codesign Framework Based on Distributed DSP Virtual Machines. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Takashi Saito, Tsutomu Maruyama, Tsutomu Hoshino, Saburo Hirano A Music Synthesizer on FPGA. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Sungtaek Lim, Jihong Kim 0001, Kiyoung Choi Scheduling-based code size reduction in processors with indirect addressing mode. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF indirect addressing mode, code generation, code size reduction, storage assignment
11Ruby B. Lee, A. Murat Fiskiran, Abdulla Bubsha Multimedia Instructions In IA-64. Search on Bibsonomy ICME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Mohammad H. Tehranipour, Zainalabedin Navabi, Seid Mehdi Fakhraie An efficient BIST method for testing of embedded SRAMs. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Raj K. Jain, R. Frenzel, M. Terschluse, Pramod K. Pandey, Seo H. Low, Biju Sukumaran, Lup M. Lam System-on-chip design of a four-port ADSL-lite Data DSP. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Erno Salminen, Timo D. Hämäläinen, Tero Kangas, Kimmo Kuusilinna, Jukka Saarinen Interfacing multiple processors in a system-on-chip video encoder. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Olli Lehtoranta, Timo Hämäläinen 0001, Jukka Saarinen Parallel implementation of H.263 encoder for CIF-sized images on quad DSP system. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Chung-Hsien Tso, Jiin-Chuan Wu An integrated digital PWM DC/DC converter using proportional current feedback. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A framework for testability analysis and optimization forbuilt-in self-test of RTL circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Rainer Leupers, Steven Bashford Graph-based code selection techniques for embedded processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF code selection, irregular data paths, embedded processors, data-flow graphs, SIMD instructions
11Herman Schmit, Srihari Cadambi, Matthew Moe, Seth Copen Goldstein Pipeline Reconfigurable FPGAs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Shiro Kobayashi, Gerhard P. Fettweis A Hierarchical Block-Floating-Point Arithmetic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Stefan Pees, Andreas Hoffmann 0002, Heinrich Meyr Retargeting of Compiled Simulators for Digital Signal Processors Using a Machine Description Language. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Dimitris Gizopoulos, Nektarios Kranitis, Mihalis Psarakis, Antonis M. Paschalis, Yervant Zorian Effective Low Power BIST for Datapaths. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11James Brusey, Mark Makies, Lin Padgham, Brad Woodvine, Karl Fantone RMIT United. Search on Bibsonomy RoboCup The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Mathias Kortke, Thomas Schmitt 0002, Renate Merker Application of Partitioning Methods for the Design of Parallel Programs for a System of Digital Signal Processors. Search on Bibsonomy PARELEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Ingrid Verbauwhede, Chris Nicol Low power DSP's for wireless communications (embedded tutorial session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF programmable processors, architectures, wireless communications, digital signal processing
11Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo Designing High-Speed Asynchronous Pipelines. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Simon Leung, Adam Postula, Ahmed Hemani Development of Programmable Architecture for Base-Band Processing. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Dave Comisky, Sanjive Agarwala, Charles Fuoco A Scalable High-Performance DMA Architecture for DSP Applications. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Tor E. Jeremiassen Sleipnir - An Instruction-Level Simulator Generator. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Dimitris Gizopoulos, Nektarios Kranitis, Mihalis Psarakis, Antonis M. Paschalis, Yervant Zorian Low Power/Energy BIST Scheme for Datapaths. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Ted Bapty, Jason Scott, Sandeep Neema, Janos Sztipanovits Uniform Execution Environment for Dynamic Reconfiguration. Search on Bibsonomy ECBS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Daniel P. Van der Velde, Ad J. van de Goor Designing a Memory Module Tester. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Praveen K. Murthy, Shuvra S. Bhattacharyya A Buffer Merging Technique for Reducing Memory Requirements of Synchronous Dataflow Specifications. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Paulo Centoducatte, Ricardo Pannain, Guido Araujo Compressed Code Execution on DSP Architectures. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Byung Kook Kim Reliability Analysis of Real-Time Controllers with Dual-Modular Temporal Redundancy. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF reliability improvement, dual-modular redundancy, temporal redundancy and reliability analysis, Fault tolerance
11Nikil D. Dutt, Brian Kelley On the rapid prototyping and design of a wireless communication system on a chip (abstract). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Mathias Kortke, Dirk Fimmel, Renate Merker Parallelization of Algorithms for a System of Digital Signal Processors. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Tor E. Jeremiassen A DSP with Caches-A Study of the GSM-EFR Codec on the TI C6211. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF GSM-EFR Speech Codec, Performance, Cache, DSP
11Nobuhiko Sugino, Harushige Funaki, Akinori Nishihara Memory address allocation method for a indirect addressing DSP with consideration of modification in local computational order. Search on Bibsonomy ISCAS (3) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Stefan Fröhlich, Martin Gotschlich, Udo Krebelder, Bernhard Wess Dynamic trellis diagrams for optimized DSP code generation. Search on Bibsonomy ISCAS (3) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11S. Masupe, Tughrul Arslan Low power DCT implementation approach for VLSI DSP processors. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A Framework for Testability Analysis and Optimizationb of RTL Circuits for BIST. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Srihari Cadambi, Jeffrey Weener, Seth Copen Goldstein, Herman Schmit, Donald E. Thomas Managing Pipeline-Reconfigurable FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Paul S. Graham, Brent E. Nelson FPGA-Based Sonar Processing. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Holger Keding, Markus Willems, Martin Coors, Heinrich Meyr FRIDGE: A Fixed-Point Design and Simulation Environment. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FRIDGE, interpolative approach, fixed-C, assignment-time instantiation, simulation, design, interpolation, quantization, fixed-point
11Neil W. Bergmann, Peter R. Sutton A High-Performance Computing Module for a Low Earth Orbit Satellite Using Reconfigurable Logic. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Luciano Lavagno System-Level Design Models and Implementation Techniques. Search on Bibsonomy ACSD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF finite state machines, formal models, system-level design, co-simulation, co-synthesis
11Nikhil D. Gupta, John K. Antonio, Jack M. West Reconfigurable Computing for Space-Time Adaptive Processing. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Dionysis Papadimatos, Theodore Antonakopoulos 0001, Vassilios Makios Real-Time Disparity Information Compression in 3D Teleconferencing Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Chouki Aktouf, Ghassan Al Hayek, Chantal Robach On-line testing of scalable signal processing architectures using a software test method. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh 0001 Extensions to Programmable DSP architectures for Reduced Power Dissipation. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Low Power Design, DSP Architecture
11Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh 0001 Algorithmic and Architectural Transformations for Low Power Realization of FIR Filters. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Hardware/Software High Level Synthesis, Low Power Design, FIR Filters
Displaying result #301 - #400 of 410 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license