The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DVFS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2005 (17) 2006 (17) 2007 (30) 2008 (36) 2009 (42) 2010 (41) 2011 (26) 2012 (38) 2013 (34) 2014 (48) 2015 (60) 2016 (40) 2017 (32) 2018 (33) 2019 (47) 2020 (27) 2021 (29) 2022 (31) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(213) inproceedings(445) phdthesis(3)
Venues (Conferences, Journals, ...)
ISLPED(26) DAC(22) CoRR(19) DATE(16) IEEE Trans. Comput. Aided Des....(14) ISCAS(13) IEEE Trans. Computers(12) IEEE J. Solid State Circuits(10) MICRO(10) PATMOS(10) ISSCC(9) ICCD(8) IPDPS(8) SoCC(8) ISQED(7) J. Supercomput.(7) More (+10 of total 269)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 255 occurrences of 138 keywords

Results
Found 661 publication records. Showing 661 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Keng-Wei Chang, Chun-Yang Huang, Szu-Pang Mu, Jian-Min Huang, Shi-Hao Chen, Mango C.-T. Chao DVFS Binning Using Machine-Learning Techniques. Search on Bibsonomy ITC-Asia The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Shaoheng Luo, Cheng Zhuo, Houle Gan Noise-aware DVFS transition sequence optimization for battery-powered IoT devices. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Georgios L. Stavrinides, Helen D. Karatza Energy-Aware Scheduling of Real-Time Workflow Applications in Clouds Utilizing DVFS and Approximate Computations. Search on Bibsonomy FiCloud The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Wen-Yew Liang, Ming-Feng Chang, Yen-Lin Chen Optimal Energy Saving DVFS Approach of Embedded Processors. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2017 DBLP  BibTeX  RDF
17Enrico Calore, Alessandro Gabbana, Sebastiano Fabio Schifano, Raffaele Tripiccione Evaluation of DVFS techniques on modern HPC processors and accelerators for energy-aware applications. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Libao Deng, Baoquan Zhang, Sha Wang, Chengyu Jin IPRM: IP core resource multiplexing of core wrapper design for reducing test application time in DVFS-based multicore SoCs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jui-Hung Hsieh, Jian-Hao Huang, Hung-Ren Wang DVFS-aware motion estimation design scheme based on bandwidth-rate-distortion optimization in application processor systems. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Songyun Wang, Zhuzhong Qian, Jiabin Yuan, Ilsun You A DVFS Based Energy-Efficient Tasks Scheduling in a Data Center. Search on Bibsonomy IEEE Access The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Tongda Wu, Yongpan Liu, Daming Zhang, Jinyang Li 0002, Xiaobo Sharon Hu, Chun Jason Xue, Huazhong Yang DVFS-Based Long-Term Task Scheduling for Dual-Channel Solar-Powered Sensor Nodes. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Erwan Nogues, Julien Heulot, Glenn Herrou, Ladislas Robin, Maxime Pelcat, Daniel Ménard, Erwan Raffin, Wassim Hamidouche Efficient DVFS for low power HEVC software decoder. Search on Bibsonomy J. Real Time Image Process. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Xinxin Mei, Qiang Wang 0022, Xiaowen Chu 0001 A survey and measurement study of GPU DVFS on energy conservation. Search on Bibsonomy Digit. Commun. Networks The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Shoaib Akram 0001, Jennifer B. Sartor, Lieven Eeckhout DEP+BURST: Online DVFS Performance Prediction for Energy-Efficient Managed Language Execution. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Zhonghai Lu, Yuan Yao 0009 Marginal Performance: Formalizing and Quantifying Power Over/Under Provisioning in NoC DVFS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Mario R. Casu, Paolo Giaccone Power-performance assessment of different DVFS control policies in NoCs. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Fakhruddin Muhammad Mahbub Ul Islam, Man Lin Hybrid DVFS Scheduling for Real-Time Systems Based on Reinforcement Learning. Search on Bibsonomy IEEE Syst. J. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Mayuri Digalwar, Biju K. Raveendran, Sudeept Mohan LAMCS: A leakage aware DVFS based mixed task set scheduler for multi-core processors. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Enrico Calore, Alessandro Gabbana, Sebastiano Fabio Schifano, Raffaele Tripiccione Evaluation of DVFS techniques on modern HPC processors and accelerators for energy-aware applications. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
17Ehsan Arianyan, Hassan Taheri, Vahid Khoshdel Novel fuzzy multi objective DVFS-aware consolidation heuristics for energy and SLA efficient resource management in cloud data centers. Search on Bibsonomy J. Netw. Comput. Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Young-Jae Min, Chan-Hui Jeong, Junil Moon, Youngsun Han, Soo-Won Kim, Chulwoo Kim A 1.3 V input fast-transient-response time digital low-dropout regulator with a VSSa generator for DVFS system. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Joonho Kong, Kwangho Lee A DVFS-aware cache bypassing technique for multiple clock domain mobile SoCs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Carla Guillén, Carmen B. Navarrete, David Brayford, Wolfram Hesse, Matthias Brehm Energy model derivation for the DVFS automatic tuning plugin: tuning energy and power related tuning objectives. Search on Bibsonomy Computing The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Neetesh Kumar, Deo Prakash Vidyarthi A GA based energy aware scheduler for DVFS enabled multicore systems. Search on Bibsonomy Computing The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Gereon Onnebrink, Florian Walbroel, Jonathan Klimt, Rainer Leupers, Gerd Ascheid, Luis Gabriel Murillo, Stefan Schürmans, Xiaotao Chen, YwhPyng Harn DVFS-enabled power-performance trade-off in MPSoC SW application mapping. Search on Bibsonomy SAMOS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Luca Cremona, William Fornaciari, Andrea Marchese, Michele Zanella, Davide Zoni DENA: A DVFS-Capable Heterogeneous NoC Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Hui Huang 0019, Man Lin, Qingchen Zhang Double-Q Learning-Based DVFS for Multi-core Real-Time Systems. Search on Bibsonomy iThings/GreenCom/CPSCom/SmartData The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Marko Scrbak, Joseph L. Greathouse, Nuwan Jayasena, Krishna M. Kavi DVFS Space Exploration in Power Constrained Processing-in-Memory Systems. Search on Bibsonomy ARCS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Reza Ghanaatian, Andreas Burg DVFS based power management for LDPC decoders with early termination. Search on Bibsonomy SiPS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Diego Q. Pinheiro, Rawlinson S. Gonçalves, Eduardo Valentin, Horacio A. B. F. de Oliveira, Raimundo S. Barreto Inserting DVFS Code in Hard Real-Time System Tasks. Search on Bibsonomy SBESC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Ramnarayanan Muthukaruppan, Tarun Mahajan, Harish Kumar Krishnamurthy, Sumedha Mangal, Am Dhanashekar, Rupak Ghayal, Vivek De A digitally controlled linear regulator for per-core wide-range DVFS of atom™ cores in 14nm tri-gate CMOS featuring non-linear control, adaptive gain and code roaming. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Vincent Chau, Xiaowen Chu 0001, Hai Liu 0001, Yiu-Wing Leung Energy Efficient Job Scheduling with DVFS for CPU-GPU Heterogeneous Systems. Search on Bibsonomy e-Energy The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jurn-Gyu Park, Nikil D. Dutt, Sung-Soo Lim ML-Gov: a machine learning enhanced integrated CPU-GPU DVFS governor for mobile gaming. Search on Bibsonomy ESTIMedia The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Mineo Kaneko KKT-condition inspired solution of DVFS with limited number of voltage levels. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Shoumik Maiti, Sudeep Pasricha DELCA: DVFS Efficient Low Cost Multicore Architecture. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jalil Boudjadar An efficient energy-driven scheduling of DVFS-multicore systems with a hierarchy of shared memories. Search on Bibsonomy DS-RT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Fan Gong, Lei Ju 0001, Deshan Zhang, Mengying Zhao, Zhiping Jia Cooperative DVFS for energy-efficient HEVC decoding on embedded CPU-GPU architecture. Search on Bibsonomy DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Stephen T. Kim, Yi-Chun Shih, Kaushik Mazumdar, Rinkle Jain, Joseph F. Ryan 0002, Carlos Tokunaga, Charles Augustine, Jaydeep P. Kulkarni, Krishnan Ravichandran, James W. Tschanz, Muhammad M. Khellah, Vivek De Enabling Wide Autonomous DVFS in a 22 nm Graphics Execution Core Using a Digitally Controlled Fully Integrated Voltage Regulator. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Joshua Peraza, Ananta Tiwari, Michael Laurenzano, Laura Carrington, Allan Snavely PMaC's green queue: a framework for selecting energy optimal DVFS configurations in large scale MPI applications. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Muhammad Ali Awan, Patrick Meumeu Yomsi, Geoffrey Nelissen, Stefan M. Petters Energy-aware task mapping onto heterogeneous platforms using DVFS and sleep states. Search on Bibsonomy Real Time Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Da-Ren Chen, Chiun-Chieh Hsu, Hon-Chan Chen A Slack Reclamation Method for Reducing the Speed Fluctuations on the DVFS Real-Time Scheduling. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hideki Ando, Ryota Shioya Performance of Dynamic Instruction Window Resizing for a Given Power Budget under DVFS Control. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Teng Lu, Partha Pratim Pande, Behrooz A. Shirazi A dynamic, compiler guided DVFS mechanism to achieve energy-efficiency in multi-core processors. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Xinxin Mei, Qiang Wang 0022, Xiaowen Chu 0001 A Survey and Measurement Study of GPU DVFS on Energy Conservation. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
17Davide Zoni, Federico Terraneo, William Fornaciari A DVFS Cycle Accurate Simulation Framework with Asynchronous NoC Design for Power-Performance Optimizations. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Zhuo Tang, Ling Qi, Zhenzhen Cheng, Kenli Li 0001, Samee Ullah Khan, Keqin Li 0001 An Energy-Efficient Task Scheduling Algorithm in DVFS-enabled Cloud Environment. Search on Bibsonomy J. Grid Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Erwan Nogues, Maxime Pelcat, Daniel Ménard, Alexandre Mercat Energy Efficient Scheduling of Real Time Signal Processing Applications through Combined DVFS and DPM. Search on Bibsonomy PDP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yahia Benmoussa, Eric Senn, Nicolas Derouineau, Nicolas Tizon, Jalil Boukhobza Green metadata based adaptive DVFS for energy efficient video decoding. Search on Bibsonomy PATMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Valentino Peluso, Roberto Giorgio Rizzo, Andrea Calimera, Enrico Macii, Massimo Alioto Beyond Ideal DVFS Through Ultra-Fine Grain Vdd-Hopping. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Kai Lampka, Björn Forsberg Keep it slow and in time: Online DVFS with hard real-time workloads. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
17Yen-Hao Chen, Yi-Lun Tang, Yi-Yu Liu, Allen C.-H. Wu, TingTing Hwang A novel cache-utilization based dynamic voltage frequency scaling (DVFS) mechanism for reliability enhancements. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
17Yuan Yao 0009, Zhonghai Lu Memory-access aware DVFS for network-on-chip in CMPs. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
17José V. Escamilla, Mario R. Casu, José Flich Increasing the Efficiency of Latency-Driven DVFS with a Smart NoC Congestion Management Strategy. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yuan Yao 0009, Zhonghai Lu DVFS for NoCs in CMPs: A thread voting approach. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Carla Guillén, Carmen B. Navarrete, David Brayford, Wolfram Hesse, Matthias Brehm DVFS automatic tuning plugin for energy related tuning objectives. Search on Bibsonomy ICGHPC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yujian Zhang, Yun Wang 0002, Hui Wang Energy-efficient task scheduling for DVFS-enabled heterogeneous computing systems using a linear programming approach. Search on Bibsonomy IPCCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Mohammad Shojafar, Claudia Canali, Riccardo Lancellotti, Saeid Abolfazli An Energy-aware Scheduling Algorithm in DVFS-enabled Networked Data Centers. Search on Bibsonomy CLOSER (2) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Nicolas Melot, Christoph W. Kessler, Jörg Keller 0001 Energy-Optimized Static Scheduling for Many-Cores with Task Parallelization, DVFS and Core Consolidation. Search on Bibsonomy SCOPES The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Shoaib Akram 0001, Jennifer B. Sartor, Lieven Eeckhout DVFS performance prediction for managed multithreaded applications. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Milad Ghorbani Moghaddam, Cristinel Ababei Investigation of DVFS for network-on-chip based H.264 video decoders with truly real workload. Search on Bibsonomy IGSC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17JeeWhan Choi, Richard W. Vuduc Analyzing the Energy Efficiency of the Fast Multipole Method Using a DVFS-Aware Energy Model. Search on Bibsonomy IPDPS Workshops The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Wenli Zheng, Kai Ma, Xiaorui Wang TECfan: Coordinating Thermoelectric Cooler, Fan, and DVFS for CMP Energy Optimization. Search on Bibsonomy IPDPS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Robert Basmadjian, Florian Niedermeier, Hermann de Meer Modelling performance and power consumption of utilisation-based DVFS using M/M/1 queues. Search on Bibsonomy e-Energy The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Andrew Zaliwski, Stefan Lankes, Oliver Sinnen Evaluating DVFS Scheduling Algorithms on Real Hardware. Search on Bibsonomy ICPP Workshops The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Kyuho Jason Lee, Kyeongryeol Bong, Changhyeon Kim, Junyoung Park 0002, Hoi-Jun Yoo An energy-efficient parallel multi-core ADAS processor with robust visual attention and workload-prediction DVFS for real-time HD stereo stream. Search on Bibsonomy COOL Chips The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Guanghua Shu, Woo-Seok Choi, Saurabh Saxena, Seong Joong Kim, Mrunmay Talegaonkar, Romesh Kumar Nandwana, Ahmed Elkholy, Da Wei, Timir Nandi, Pavan Kumar Hanumolu 23.1 A 16Mb/s-to-8Gb/s 14.1-to-5.9pJ/b source synchronous transceiver using DVFS and rapid on/off in 65nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Fahim U. Rahman, Visvesh S. Sathe 0001 19.6 voltage-scalable frequency-independent quasi-resonant clocking implementation of a 0.7-to-1.2V DVFS System. Search on Bibsonomy ISSCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Rizwana Begum, Mark Hempstead, Guru Prasad Srinivasa, Geoffrey Challen Algorithms for CPU and DRAM DVFS under inefficiency constraints. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Liting Yu, Xiaoxiao Wang 0001, Yuanqing Cheng, Xiaoying Zhao, Pengyuan Jiao, Aixin Chen, Donglin Su, LeRoy Winemberg, Mehdi Sadi, Mark M. Tehranipoor An efficient all-digital IR-Drop Alarmer for DVFS-based SoC. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hardeep Chahal, Vasileios Tenentes, Daniele Rossi 0001, Bashir M. Al-Hashimi BTI aware thermal management for reliable DVFS designs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Manel Ammar, Mouna Baklouti, Maxime Pelcat, Karol Desnos, Mohamed Abid Off-Line DVFS Integration in MDE-Based Design Space Exploration Framework for MP2SoC Systems. Search on Bibsonomy WETICE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Sudarshan Srinivasan, Nithesh kurella, Israel Koren, Sandip Kundu Dynamic Reconfiguration vs. DVFS: A Comparative Study on Power Efficiency of Processors. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Chengyi Zhang, Jiming Wang, Minxuan Zhang, Xiangdi Wu A New DVFS Algorithm Design for Multi-core Processor Chip. Search on Bibsonomy NCCET The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Sijie Pan, Philip K. T. Mok A single on/off reference tracking buck converter using turning point prediction for DVFS application. Search on Bibsonomy APCCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Mineo Kaneko KKT-condition based study on DVFS for heterogeneous task set. Search on Bibsonomy APCCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Paolo Mantovani, Emilio G. Cota, Kevin Tien, Christian Pilato, Giuseppe Di Guglielmo, Kenneth L. Shepard, Luca P. Carloni An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systems. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Jagpreet Singh, Aditya Gujral, Harmandeep Singh, Jag Ustit Singh, Nitin Auluck Energy Aware Scheduling on Heterogeneous Multiprocessors with DVFS and Duplication. Search on Bibsonomy PDCAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris Tackling Performance Variability Due to RAS Mechanisms with PID-Controlled DVFS. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Ruzica Jevtic, Hanh-Phuc Le, Milovan Blagojevic, Stevo Bailey, Krste Asanovic, Elad Alon, Borivoje Nikolic Per-Core DVFS With Switched-Capacitor Converters for Energy Efficiency in Manycore Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Morteza Mohaqeqi, Mehdi Kargahi Thermal analysis of stochastic DVFS-enabled multicore real-time systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Zhiquan Lai, King Tin Lam, Cho-Li Wang, Jinshu Su Latency-aware DVFS for efficient power state transitions on many-core architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Youngkyu Jang, Changnoh Yoon, Ik Joon Chang, Jinsang Kim Variation-Aware Flip Flop for DVFS Applications. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jae Min Kim, Young Geun Kim, Sung Woo Chung Stabilizing CPU Frequency and Voltage for Temperature-Aware DVFS in Mobile Devices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Marco E. T. Gerards, Johann L. Hurink, Jan Kuper On the Interplay between Global DVFS and Scheduling Tasks with Precedence Constraints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Lin Gu 0002, Deze Zeng, Ahmed Barnawi, Song Guo 0001, Ivan Stojmenovic Optimal Task Placement with QoS Constraints in Geo-Distributed Data Centers Using DVFS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Ching-Chi Lin, You-Cheng Syu, Chao-Jui Chang, Jan-Jan Wu, Pangfeng Liu, Po-Wen Cheng, Wei-Te Hsu Energy-efficient task scheduling for multi-core platforms with per-core DVFS. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Fotis Vartziotis, Xrysovalantis Kavousianos, Krishnendu Chakrabarty, Arvind Jain, Rubin A. Parekhji Time-Division Multiplexing for Testing DVFS-Based SoCs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Dazhao Cheng, Yanfei Guo, Changjun Jiang, Xiaobo Zhou 0002 Self-Tuning Batching with DVFS for Performance Improvement and Energy Efficiency in Internet Servers. Search on Bibsonomy ACM Trans. Auton. Adapt. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Davide Zoni, William Fornaciari Modeling DVFS and Power-Gating Actuators for Cycle-Accurate NoC-Based Simulators. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Gangyong Jia, Guangjie Han, Jinfang Jiang, Aohan Li Dynamic Time-slice Scaling for Addressing OS Problems Incurred by Main Memory DVFS in Intelligent System. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jacob Murray, Nghia Tang, Partha Pratim Pande, Deukhyoun Heo, Behrooz A. Shirazi DVFS Pruning for Wireless NoC Architectures. Search on Bibsonomy IEEE Des. Test The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17SeongKi Kim, Young J. Kim GPGPU-Perf: efficient, interval-based DVFS algorithm for mobile GPGPU applications. Search on Bibsonomy Vis. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Seehwan Yoo An empirical validation of power-performance scaling: DVFS vs. multi-core scaling in big.LITTLE processor. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Seong Jin Cho, Seung Hyun Yun, Jae Wook Jeon A powersaving DVFS algorithm based on Operational Intensity for embedded systems. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Tai-Long Xu, Feng Xue, Zhikuang Cai, Xian-He Gao, Xue-You Hu, Chang-Jun Zha, Yu-Feng Xu, Jun-Ning Chen A wide-range and fast-locking all digital SARDLL for DVFS SoCs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Zheng Li 0006, Shangping Ren, Gang Quan Energy minimization for reliability-guaranteed real-time applications using DVFS and checkpointing techniques. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Davide Zoni, Federico Terraneo, William Fornaciari A control-based methodology for power-performance optimization in NoCs exploiting DVFS. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Yi Cheng Ren, Junichi Suzuki, Shingo Omura, Ryuichi Hosoya Leveraging Active-Guided Evolutionary Games for Adaptive and Stable Deployment of DVFS-Aware Cloud Applications. Search on Bibsonomy Int. J. Softw. Eng. Knowl. Eng. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Robert Hesse, Natalie D. Enright Jerger Improving DVFS in NoCs with Coherence Prediction. Search on Bibsonomy NOCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Patricia Arroba, José Manuel Moya, José L. Ayala, Rajkumar Buyya DVFS-Aware Consolidation for Energy-Efficient Clouds. Search on Bibsonomy PACT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Georges Da Costa, Jean-Marc Pierson DVFS Governor for HPC: Higher, Faster, Greener. Search on Bibsonomy PDP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Eduardo Valentin, Mario Salvatierra, Rosiane de Freitas, Raimundo S. Barreto Response time schedulability analysis for hard real-time systems accounting DVFS latency on heterogeneous cluster-based platform. Search on Bibsonomy PATMOS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 661 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license