The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DVS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-2001 (18) 2002 (18) 2003 (29) 2004 (53) 2005 (67) 2006 (72) 2007 (81) 2008 (59) 2009 (41) 2010 (17) 2011 (15) 2012 (19) 2013-2014 (24) 2015-2016 (16) 2017-2019 (18) 2020-2021 (24) 2022-2023 (25) 2024 (2)
Publication types (Num. hits)
article(143) incollection(2) inproceedings(451) phdthesis(1) proceedings(1)
Venues (Conferences, Journals, ...)
ISLPED(33) DATE(25) DAC(18) CoRR(16) ICCAD(14) RTSS(14) ASP-DAC(13) ISCAS(13) ACM Trans. Embed. Comput. Syst...(10) IEEE J. Solid State Circuits(10) IEEE Trans. Comput. Aided Des....(10) EMSOFT(9) IPDPS(9) CASES(8) EUC(8) ICESS(8) More (+10 of total 227)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 549 occurrences of 219 keywords

Results
Found 598 publication records. Showing 598 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Rui Graça, Brian McReynolds, Tobi Delbrück Shining light on the DVS pixel: A tutorial and discussion about biasing and optimization. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Rui Graca, Brian McReynolds, Tobi Delbrück Optimal biasing and physical limits of DVS event noise. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Rui Graça, Brian McReynolds, Tobi Delbruck Shining light on the DVS pixel: A tutorial and discussion about biasing and optimization. Search on Bibsonomy CVPR Workshops The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Antonio Rios-Navarro, S. Guo, G. Abarajithan, K. Vijayakumar, Alejandro Linares-Barranco, Thea Aarrestad, Ryan Kastner, Tobi Delbrück Within-Camera Multilayer Perceptron DVS Denoising. Search on Bibsonomy CVPR Workshops The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Harreez M. Villaruz, Hong-Yi Huang, Nieva M. Mapula, Gene Fe P. Palencia Buck Converter with Variable Output Voltage for Dynamic Voltage Scaling (DVS) Applications. Search on Bibsonomy ISCIT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Amélie Gruel, Jean Martinet, Bernabé Linares-Barranco, Teresa Serrano-Gotarredona Performance comparison of DVS data spatial downscaling methods using Spiking Neural Networks. Search on Bibsonomy WACV The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Gokul Krishnan, Gopikrishnan Raveendran Nair, Jonghyun Oh, Anupreetham Anupreetham, Pragnya Sudershan Nalla, Ahmed Hassan, Injune Yeo, Kishore Kasichainula, Jae-sun Seo, Mingoo Seok, Yu Cao 0001 3D-ISC: A 65nm 3D Compatible In-Sensor Computing Accelerator with Reconfigurable Tile Architecture for Real-Time DVS Data Compression. Search on Bibsonomy A-SSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Raeyoung Kim, Jun-Seok Kim, Junhyuk Park, Paul K. J. Park, Jae-Ha Park, Chunghwan Park, Inchun Lim, Seongwook Song, Juhyun Ko Development of DVS evaluation methods from user perspective. Search on Bibsonomy Imaging Sensors and Systems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Mohammad Sadrosadati, Amirhossein Mirhosseini, Negar Akbarzadeh, Homa Aghilinasab, Hamid Sarbazi-Azad Chapter Two - An efficient DVS scheme for on-chip networks. Search on Bibsonomy Adv. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Palash Yuvraj Ingle, Yujun Kim, Young-Gab Kim DVS: A Drone Video Synopsis towards Storing and Analyzing Drone Surveillance Data in Smart Cities. Search on Bibsonomy Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Xiangyu Mao, Yan Lu 0002, Rui Paulo Martins A 1.2-A Calibration-Free Hybrid LDO With In-Loop Quantization and Auxiliary Constant Current Control Achieving High Accuracy and Fast DVS. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Yuhan Zhang, Lindong Wu, Weihua He, Ziyang Zhang, Chen Yang, Yaoyuan Wang, Ying Wang 0008, Kun Tian, Jianxing Liao, Ying Yang 0002 An Event-Driven Spatiotemporal Domain Adaptation Method for DVS Gesture Recognition. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19H. T. Manohara, B. P. Harish A Novel Feasibility Test for Energy Minimization of Real-Time Mixed Task Sets for DVS-Enabled Uniprocessor System. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Alex Vicente-Sola, Davide L. Manna, Paul Kirkland, Gaetano Di Caterina, Trevor J. Bihl Evaluating the temporal understanding of neural networks on event-based action recognition with DVS-Gesture-Chain. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Ajay Vasudevan, Pablo Negri, Camila Di Ielsi, Bernabé Linares-Barranco, Teresa Serrano-Gotarredona SL-Animals-DVS: event-driven sign language animals dataset. Search on Bibsonomy Pattern Anal. Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Georg Rutishauser, Moritz Scherer, Tim Fischer 0001, Luca Benini Ternarized TCN for $\mu \mathrm{J}/\text{Inference}$ Gesture Recognition from DVS Event Frames. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Rui Ding, Joseph Bartlett, Jinming Duan 0001, Yuping Duan DVS-Net: Dual-domain Variable Splitting Network for Accelerated Parallel MRI Data. Search on Bibsonomy EMBC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Mingkun Xu, Faqiang Liu, Jing Pei Endowing Spiking Neural Networks with Homeostatic Adaptivity for APS-DVS Bimodal Scenarios. Search on Bibsonomy ICMI Companion The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Hong-Hyun Bae, Jeong-Hyun Cho, Gyeong-Gu Kang, Yousung Park, Hyun-Sik Kim A 97.6%-Efficient 1-2MHz Hysteretic Buck Converter with 7V/μs DVS-Rate Enabled by Isosceles-Triangular Shunt Current Push-Pull Technique. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Jeong-Hyun Cho, Dong-Kyu Kim, Hong-Hyun Bae, Yong-Jin Lee, Seok-Tae Koh, Young-Hwan Choo, Ji-Seon Paek, Hyun-Sik Kim A 1.23W/mm2 83.7%-Efficiency 400MHz 6-Phase Fully Integrated Buck Converter in 28nm CMOS with On-Chip Capacitor Dynamic Re-Allocation for Inter-Inductor Current Balancing and Fast DVS of 75mV/ns. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19K. A. Jyotsna, S. Suraj Siddharth, P. Satish Kumar, B. K. Madhavi Design of 32-Bit ARM Processor Data Path Units utilizing DVS Current Mode Technique. Search on Bibsonomy iSES The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Songnan Lin, Ye Ma, Zhenhua Guo 0001, Bihan Wen DVS-Voltmeter: Stochastic Process-Based Event Simulator for Dynamic Vision Sensors. Search on Bibsonomy ECCV (7) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Tianxiang Zhan, Yuanpeng He, Hanwen Li, Fuyuan Xiao 0001 DVS: Deep Visibility Series and its Application in Construction Cost Index Forecasting. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Alberto Marchisio, Giacomo Pira, Maurizio Martina, Guido Masera, Muhammad Shafique 0001 DVS-Attacks: Adversarial Attacks on Dynamic Vision Sensors for Spiking Neural Networks. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Rui Graca, Tobi Delbrück Unraveling the paradox of intensity-dependent DVS pixel noise. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Shriya T. P. Gupta, Pablo Linares-Serrano, Basabdatta Sen Bhattacharya, Teresa Serrano-Gotarredona Foveal-pit inspired filtering of DVS spike response. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Yuhuang Hu, Shih-Chii Liu, Tobi Delbrück v2e: From Video Frames to Realistic DVS Events. Search on Bibsonomy CVPR Workshops The full citation details ... 2021 DBLP  BibTeX  RDF
19Tobias Bolten, Regina Pohle-Fröhlich, Klaus D. Tönnies DVS-OUTLAB: A Neuromorphic Event-Based Long Time Monitoring Dataset for Real-World Outdoor Scenarios. Search on Bibsonomy CVPR Workshops The full citation details ... 2021 DBLP  BibTeX  RDF
19Mohammadreza Rezaei, Francisco J. Franco, Juan Carlos Fabero, Hortensia Mecha, Helmut Puchner, Juan Antonio Clemente Impact of DVS on Power Consumption and SEE Sensitivity of COTS Volatile SRAMs. Search on Bibsonomy LATS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Oskar Natan, Jun Miura Semantic Segmentation and Depth Estimation with RGB and DVS Sensor Fusion for Multi-view Driving Perception. Search on Bibsonomy ACPR (1) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Alberto Marchisio, Giacomo Pira, Maurizio Martina, Guido Masera, Muhammad Shafique 0001 DVS-Attacks: Adversarial Attacks on Dynamic Vision Sensors for Spiking Neural Networks. Search on Bibsonomy IJCNN The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Shriya T. P. Gupta, Pablo Linares-Serrano, Basabdatta Sen Bhattacharya, Teresa Serrano-Gotarredona Foveal-pit inspired filtering of DVS spike response. Search on Bibsonomy CISS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Yong Deng, Yuhao Zhou Fault Diagnosis of an Analog Circuit Based on Hierarchical DVS. Search on Bibsonomy Symmetry The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Martin Nowak, Anthony Beninati, Nicolas Douard, George C. Giakos Polarimetric dynamic vision sensor p(DVS) principles. Search on Bibsonomy IEEE Instrum. Meas. Mag. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Riccardo Massa, Alberto Marchisio, Maurizio Martina, Muhammad Shafique 0001 An Efficient Spiking Neural Network for Recognizing Gestures with a DVS Camera on the Loihi Neuromorphic Processor. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Yuhuang Hu, Shih-Chii Liu, Tobi Delbrück V2E: From video frames to realistic DVS event camera streams. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Cedric Le Gentil, Florian Tschopp, Ignacio Alzugaray, Teresa A. Vidal-Calleja, Roland Siegwart, Juan I. Nieto 0001 IDOL: A Framework for IMU-DVS Odometry using Lines. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Pai-Yi Wang, Yi-Wei Huang, Tai-Haur Kuo A Reconfigurable Transient Optimizer Applied to a Four-Phase Buck Converter for Optimizing Both DVS and Load Transient Responses. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Chung-Yi Ting, Jing-Yuan Lin, Charlie Chung-Ping Chen A Quasi-V2 Hysteretic Buck Converter With Adaptive COT Control for Fast DVS and Load-Transient Response in RF Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Cedric Le Gentil, Florian Tschopp, Ignacio Alzugaray, Teresa A. Vidal-Calleja, Roland Siegwart, Juan I. Nieto 0001 IDOL: A Framework for IMU-DVS Odometry using Lines. Search on Bibsonomy IROS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Tiago M. de F. Lopes, Victor R. R. de Oliveira, Fernanda D. V. R. Oliveira, José Gabriel Rodríguez Carneiro Gomes Event-Based CMOS Image Sensor with Shared DVS Module for Pixel Area Reduction. Search on Bibsonomy SBCCI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Neelkantan Narasimman, Raju Salahuddin, Ravinder Pal Singh An 86% efficiency Multi-Phase Buck Converter using Time-Domain Compensator and Adaptive Dead-Time Control for DVS Application. Search on Bibsonomy IECON The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Jongbeom Baek, Takahiro Nomiyama, Seungchan Park, Young-Ho Jung, Dongsu Kim, Jae-Yeol Han, Jun-Suk Bang, Yumi Lee, Ik-Hwan Kim, Ji-Seon Paek, Jongwoo Lee, Thomas Byunghak Cho 11.7 A Voltage-Tolerant Three-Level Buck-Boost DC-DC Converter with Continuous Transfer Current and Flying Capacitor Soft Charger Achieving 96.8% Power Efficiency and 0.87µs/V DVS Rate. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Riccardo Massa, Alberto Marchisio, Maurizio Martina, Muhammad Shafique 0001 An Efficient Spiking Neural Network for Recognizing Gestures with a DVS Camera on the Loihi Neuromorphic Processor. Search on Bibsonomy IJCNN The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Arun M. George, Dighanchal Banerjee, Sounak Dey, Arijit Mukherjee, P. Balamurali A Reservoir-based Convolutional Spiking Neural Network for Gesture Recognition from DVS Input. Search on Bibsonomy IJCNN The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Hochang Seok, Jongwoo Lim Robust Feature Tracking in DVS Event Stream using Bézier Mapping. Search on Bibsonomy WACV The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Mingchuan Zhou, Long Cheng 0007, Manuel Dell'antonio, Xiebing Wang, Zhenshan Bing, M. Ali Nasseri, Kai Huang 0001, Alois C. Knoll Peak Temperature Minimization for Hard Real-Time Systems Using DVS and DPM. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Tai-Haur Kuo, Yi-Wei Huang, Pai-Yi Wang Background Capacitor-Current-Sensor Calibration of DC-DC Buck Converter with DVS for Accurately Accelerating Load-Transient Response. Search on Bibsonomy ISSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Wensheng Cheng, Hao Luo, Wen Yang 0001, Lei Yu 0006, Shoushun Chen, Wei Li DET: A High-Resolution DVS Dataset for Lane Extraction. Search on Bibsonomy CVPR Workshops The full citation details ... 2019 DBLP  BibTeX  RDF
19Anthony Beninati, Martin Nowak, Nicolas Douard, Joe Lanzi, Ridwan Hussain, Yi Wang 0030, Suman Shrestha, Zoe Giakos, George C. Giakos Super-Resolution Spike Event-based Polarimetric Dynamic Vision Sensor p(DVS) Cognitive Imaging. Search on Bibsonomy IST The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Maryem Benyoussef, Claude Thibeault, Yvon Savaria A Prediction Model for Implementing DVS in Single-Rail Bundled-Data Handshake-Free Asynchronous Circuits. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Xun Liu, Cheng Huang 0004, Philip K. T. Mok A High-Frequency Three-Level Buck Converter With Real-Time Calibration and Wide Output Range for Fast-DVS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Bryce Hicks Improving I/O bandwidth with Cray DVS Client-side Caching. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Chenchen Fu, Vincent Chau, Minming Li, Chun Jason Xue Race to idle or not: balancing the memory sleep time with DVS for energy minimization. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Yujian Zhang, Yun Wang, Xin Yuan Energy-aware Task Scheduling on DVS-enabled Heterogeneous Clusters by Iterated Local Search. Search on Bibsonomy CSCWD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Anthony Quelen, Guilherme Migliato Marega, Sylvain Bouquet, Ivan Miro Panades, Gaël Pillonnet LDO-Assisted Voltage Selector Over 0.5-to-1V VDD Range for Fine Grained DVS in FDSOI 28nm with 200ns/V Controlled Transition. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Tim Thielemans, Nicolas Butzen, Athanasios Sarafianos, Michiel Steyaert, Filip Tavernier A capacitive DC-DC converter for stacked loads with wide range DVS achieving 98.2% peak efficiency in 40nm CMOS. Search on Bibsonomy CICC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Christopher J. Lukas, Farah B. Yahya, Benton H. Calhoun An Ultra-low Power System On Chip Enabling DVS with SR Level Shifting Latches. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Hung-Yu Chen, Le-Ren Chang-Chien Charge Balance Control for Improving the Load Transient Response on Dynamic Voltage Scaling(DVS) Buck Converter. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Elena Ioana Vatajelu, Rosa Rodríguez-Montañés, Michel Renovell, Joan Figueras Mitigating read & write errors in STT-MRAM memories under DVS. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Shaowei Zhen, Sunze Zhou, Liyao Zeng, Mingyu Yang, Xin Ming, Ping Luo, Bo Zhang 0027 Variable on time controled buck converter for DVS applications. Search on Bibsonomy IECON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Hassan Saif, Yongmin Lee, Minsun Kim, Hyeonji Lee, Muhammad Bilawal Khan, Yoonmyung Lee A wide load and voltage range switched-capacitor DC-DC converter with load-dependent configurability for DVS implementation in miniature sensors. Search on Bibsonomy A-SSCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Chiao-Hung Cheng, Li-Chi Lin, Jian-He Lin, Ke-Horng Chen, Ying-Hsi Lin, Jian-Ru Lin, Tsung-Yen Tsai A DVS-based burst mode with automatic entrance point control technique in DC-DC boost converter for wearable devices and IoT applications. Search on Bibsonomy A-SSCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Dongqing Zou, Feng Shi, Wei-Heng Liu, Jia Li, Qiang Wang 0023, Paul K. J. Park, Hyunsurk Ryu Robust Dense Depth Maps Generations from Sparse DVS Stereos. Search on Bibsonomy BMVC The full citation details ... 2017 DBLP  BibTeX  RDF
19Yiwen Zhang 0002, Huizhen Zhang Low power fault tolerance scheduling scheme in DVS-enabled real-time systems. Search on Bibsonomy Int. J. High Perform. Syst. Archit. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Smriti Agrawal A lazy DVS approach for dynamic real time system. Search on Bibsonomy SIGBED Rev. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Dan Luo, Ting Yao, Xiaoyang Qu, Jiguang Wan, Changsheng Xie DVS: Dynamic Variable-Width Striping RAID for Shingled Write Disks. Search on Bibsonomy NAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Peng Ouyang, Shouyi Yin, Chunxiao Xing, Leibo Liu, Shaojun Wei Energy management on DVS based coarse-grained reconfigurable platform. Search on Bibsonomy NANOARCH The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Xun Liu, Cheng Huang 0004, Philip K. T. Mok A 50MHz 5V 3W 90% efficiency 3-level buck converter with real-time calibration and wide output range for fast-DVS in 65nm CMOS. Search on Bibsonomy VLSI Circuits The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Yunni Xia, MengChu Zhou, Xin Luo 0001, Shanchen Pang, Qingsheng Zhu A Stochastic Approach to Analysis of Energy-Aware DVS-Enabled Cloud Datacenters. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Zongxu Mu, Minming Li DVS scheduling in a line or a star network of processors. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Chenchen Fu, Minming Li, Chun Jason Xue Race to idle or not: balancing the memory sleep time with DVS for energy minimization. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
19Lei Hu, Wei Hu 0001, Ruomiao Li, Chunqiang Li, Zhanyan Zhang A Time Slices Based Novel DVS Algorithm for Embedded Systems. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Yan Lu 0002, Junmin Jiang, Wing-Hung Ki, C. Patrick Yue, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins 20.4 A 123-phase DC-DC converter-ring with fast-DVS for microprocessors. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Mohammad Sadrosadati, Amirhossein Mirhosseini, Homa Aghilinasab, Hamid Sarbazi-Azad An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocators. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Woojoo Lee, Yanzhi Wang, Donghwa Shin, Shahin Nazarian, Massoud Pedram Design and optimization of a reconfigurable power delivery network for large-area, DVS-enabled OLED displays. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Chung-Shiang Wu, Kai-Chun Lin, Yi-Ping Kuo, Po-Hung Chen, Yuan-Hua Chu, Wei Hwang An all-digital power management unit with 90% power efficiency and ns-order voltage transition time for DVS operation in low power sensing SoC applications. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Michail Mavropoulos, Georgios Keramidas, Grigorios Adamopoulos, Dimitris Nikolos Reconfigurable: Self Adaptive Fault Tolerant Cache Memory for DVS enabled Systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Pei-Yuan Chou, I-Chen Wu, Jai-Wei Lin, Xuan-Yu Lin, Tien-Fu Chen, Tay-Jyi Lin, Jinn-Shyan Wang Low-cost low-power droop-voltage-aware delay-fault-prevention designs for DVS caches. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Kyle Craig, Yousef Shakhsheer, Saad Arrabi, Sudhanshu Khanna, John C. Lach, Benton H. Calhoun A 32 b 90 nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation From Sub-Threshold to High Performance. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Pengji Zhou, Wei Zheng 0002 An Efficient Biobjective Heuristic for Scheduling Workflows on Heterogeneous DVS-Enabled Processors. Search on Bibsonomy J. Appl. Math. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Massimo Canale, Lorenzo Fagiano, Carlo Novara A DVS-MHE Approach to Vehicle Side-Slip Angle Estimation. Search on Bibsonomy IEEE Trans. Control. Syst. Technol. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Cheng-Yu Lee, Tzong-Yen Lin, Rong-Guey Chang Power-aware code scheduling assisted with power gating and DVS. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Nathaniel A. Conos, Saro Meguerdichian, Foad Dabiri, Miodrag Potkonjak Provably minimal energy using coordinated DVS and power gating. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19B. Venkateswarlu Naik, Shirshendu Das, Hemangee K. Kapoor RT-DVS for Power Optimization in Multiprocessor Real-Time Systems. Search on Bibsonomy ICIT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Chin-Fu Kuo, Yung-Feng Lu Energy-efficient assignment for tasks on non-dvs heterogeneous multiprocessor system. Search on Bibsonomy RACS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Sudhir S. Kudva, Saurabh Chaubey, Ramesh Harjani High power-density, hybrid inductive/capacitive converter with area reuse for multi-domain DVS. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Danzhu Lu, Yao Qian, Zhiliang Hong 4.3 An 87%-peak-efficiency DVS-capable single-inductor 4-output DC-DC buck converter with ripple-based adaptive off-time control. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Lin Cheng 0001, Yonggen Liu, Wing-Hung Ki 4.4 A 10/30MHz Wide-duty-cycle-range buck converter with DDA-based Type-III compensator and fast reference-tracking responses for DVS applications. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Inseon Jang, Chunghyun Ahn, Younseon Jang Semi-automatic DVS Authoring Method. Search on Bibsonomy ICCHP (1) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Xrysovalantis Kavousianos, Krishnendu Chakrabarty Recent advances in single- and multi-site test optimization for DVS-based SoCs. Search on Bibsonomy DTIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Chin-Fu Kuo, Yung-Feng Lu Power Management Strategy for Non-DVS Multiprocessor System. Search on Bibsonomy ICS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Yu-Huei Lee, Shen-Yu Peng, Chao-Chang Chiu, Alex Chun-Hsien Wu, Ke-Horng Chen, Ying-Hsi Lin, Shih-Wei Wang, Tsung-Yen Tsai, Chen-Chih Huang, Chao-Cheng Lee A Low Quiescent Current Asynchronous Digital-LDO With PLL-Modulated Fast-DVS Power Management in 40 nm SoC for MIPS Performance Improvement. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Shaowei Zhen, Xiaohui Zhu, Ping Luo, Yajuan He, Bo Zhang 0027 Digital Error Corrector for Phase Lead-Compensated Buck Converter in DVS Applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Lalit Chandnani, Hemangee K. Kapoor Formal Approach for DVS-Based Power Management for Multiple Server System in Presence of Server Failure and Repair. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Jinmyoung Kim, Toru Nakura, Koichiro Ishibashi, Makoto Ikeda, Kunihiro Asada On-Chip Switched Decoupling Capacitor for Fast Voltage Hopping of DVS Systems. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Jing Mei, Kenli Li 0001, Jingtong Hu, Shu Yin, Edwin Hsing-Mean Sha Energy-aware preemptive scheduling algorithm for sporadic tasks on DVS platform. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Miao Yang, Weifeng Sun, Shen Xu, Changbing Qin, Shengli Lu A monolithic peak current-mode buck converter with fast response for high speed DVS application. Search on Bibsonomy Microelectron. J. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Rajdeep Mukherjee, Priyankar Ghosh, Pallab Dasgupta, Ajit Pal A Multi-objective Perspective for Operator Scheduling using Fine-grained DVS Architecture Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
19George Terzopoulos, Helen D. Karatza Performance evaluation and energy consumption of a real-time heterogeneous grid system using DVS and DPM. Search on Bibsonomy Simul. Model. Pract. Theory The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 598 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license