The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "EURO-DAC"( http://dblp.L3S.de/Venues/EURO-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/eurodac

Publication years (Num. hits)
1990 (121) 1991 (101) 1992 (121) 1993 (91) 1994 (107) 1995 (94) 1996 (87)
Publication types (Num. hits)
inproceedings(715) proceedings(7)
Venues (Conferences, Journals, ...)
EURO-DAC(722)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 94 occurrences of 55 keywords

Results
Found 722 publication records. Showing 722 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Haigeng Wang, Nikil D. Dutt, Alex Nicolau Regular schedules for scalable design of IIR filters. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Antonio Hernández, Luis Gómez, Antonio Núñez GASTIM: A timing analyzer for GaAs digital circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Polen Kission, Etienne Closse, Laurent Bergher, Ahmed Amine Jerraya Industrial experimentation of high-level synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1U. Bruning, G. Radke, J. Sladky State-machine-development-tool for high-level-design entry and simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Gustavo R. Alves, Manuel G. Gericota, José L. Ramalho, José Manuel Martins Ferreira An HDL approach to board-level BIST. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Wen-Lin Yang, Robert Michael Owens, Mary Jane Irwin Multi-way FSM decomposition based on interconnect complexity. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Péter Keresztes, Istvan Agotai The concept of superprocesses for high-level synthesis and their VHDL modelling. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Venkataramana Kommu, Irith Pomeranz GAFPGA: Genetic algorithm for FPGA technology mapping. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Ronald D. Hindmarsh JOGM: A CMOS cell layout style using jogged transistor gates. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Usha Prabhu, Barry M. Pangrle Conditional and unconditional hardware sharing in pipeline synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Chaeryung Park, Taewhan Kim, C. L. Liu 0001 Register allocation for data flow graphs with conditional branches and loops. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Ulrich Weinmann, Wolfgang Rosenstiel Technology mapping for sequential circuits based on retiming techniques. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Iksoo Pyo, Alvin M. Despain PDAS: Processor design automation system. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Bernhard Klaassen, Karl L. Paap SPAN: Tightly coupled thermal and electrical simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1 Proceedings of the European Design Automation Conference 1993, EURO-DAC '93 with EURO-VHDL'93, Hamburg, Germany, September 20-24, 1993 Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  BibTeX  RDF
1Jack T. Yao, Andrew T. Yang A consistent nonlinear simulation environment based on improved harmonic balance techniques. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Peter M. Kist, Rene van Leuken 0001, Mattie N. Sim Aspects of realizing the CFI design representation specification in the NELSIS framework. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Maria Brielmann, Bernd Kleinjohann A formal model for coupling computer based system and physical systems. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Paolo Camurati, Fulvio Corno, Paolo Prinetto An efficient tool for system-level verification of behaviors and temporal properties. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Ilan Levin, Ron Y. Pinter Realizing expression graphs using table-lookup FPGAs. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1T. W. Her, D. F. Wong 0001 Cell area minimization by transistor folding. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Tetsushi Koide, Shin'ichi Wakabayashi, Noriyoshi Yoshida A new global routing algorithm for over-the-cell routing in standard cell layouts. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Manzer Masud, Maddu Karunaratne Test generation based on synthesizable VHDL descriptions. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Hans Achatz Extended 0/1 LP formulation for the scheduling problem in high-level synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Hans-Georg Martin Retiming by combination of relocation and clock delay adjustment. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Tong Gao, Chung Laung (Dave) Liu, Kuang-Chien Chen A performance driven hierarchical partitioning placement algorithm. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Antonio J. Acosta 0001, Angel Barriga, Manuel Valencia-Barrero, Manuel J. Bellido, José L. Huertas Modeling of real bistables in VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Chabane Oussalah, Guilaine Talens, M. F. Colinas Concepts and methods for version modeling. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Charles Munk, Pierre Ukelo, Alain Vachoux, Daniel Mlynek The MODES Global Control Environment - A tool for rapid prototyping. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Gabriele Pulini, Stefan Hamacher Next generation environment for extremely fast test pattern generation. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Alain Debreil, Philippe Oddo Synchronous designs in VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Ting-Chi Wang, D. F. Wong 0001, Yachyang Sun, C. K. Wong On over-the-cell channel routing. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker Using VHDL for HW/SW co-specification. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Peter Windirsch, Peter Duzy The CALLAS synthesis system and its application to mechatronic ASIC design problems. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1João P. Marques Silva, Karem A. Sakallah Concurrent path sensitization in timing analysis. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Werner Damm, Bernhard Josko, Rainer Schlör A net-based semantics for VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Jean Paul Calvez, Dominique Heller, P. Bakowski Functional-level synthesis with VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Gerd Rietsche State assignment for finite state machines using T flip-flops. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Michael Gössel, Helmut Jürgensen Monitoring BIST by covers. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Hirendu Vaishnav, Massoud Pedram PCUBE: A performance driven placement algorithm for low power designs. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Youssef Saab Post-analysis-based clustering dramatically improves the Fiduccia-Mattheyses algorithm. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Negoslav Simic, Harden Ortner Partitioning strategies within a distributed multilevel logic simulator including dynamic repartitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Suman Kanjilal, Srimat T. Chakradhar, Vishwani D. Agrawal Test function embedding algorithms with application to interconnected finite state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Peter Gutberlet, Wolfgang Rosenstiel Interface specification and synthesis for VHDL processes. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Philippe Moeschler, Hans Peter Amann, Fausto Pellandini High-level modeling using extended timing diagrams - A formalism for the behavioral specification of digital hardware. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Too-Seng Tia, Chung Laung Liu A new performance driven macro-cell placement algorithm. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Serafín Olcoz, José Manuel Colom Toward a formal semantics of IEEE Std. VHDL 1076. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Franz J. Rammig Modelling aspects of system level design. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1I. Shagurin, A. Ivanov Computer-aided technique for optimal design of defect-tolerant VLSI with built-in redundancy. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Cheng-Liang Ding, Ching-Yen Ho, Mary Jane Irwin A new optimization driven clustering algorithm for large circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Eric Martin 0001, Olivier Sentieys, Hélène Dubois, Jean Luc Philippe GAUT: An architectural synthesis tool for dedicated signal processors. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Kenneth D. Boese, Andrew B. Kahng, Chung-Wen Albert Tsao Best-so-far vs. where-you-are: New perspectives on simulated annealing for CAD. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Malgorzata Chrzanowska-Jeske, Steffen Goller Partitioning approach to find an exact solution to the fitting problem in an application-specific EPLD device. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Vladimir A. Koval, Anatolij I. Ostapchuk, Igor V. Farmaga, Dmytro V. Fedasyuk CAD: The numerical and analytical methods combined for the analysis of IC's thermal fields. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Mohamed Belhadj, Roderick McConnell, Paul Le Guernic A framework for macro- and micro-time to model VHDL attributes. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Gilles Fourneris, Nourouddine Bekkara, Jacques Benkoski, Lucia Zullino, Dino Spatafora, Giuseppe Martino Demosthenes-A technology-independent power DMOS layout generator. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Bernd Becker 0001, Rolf Drechsler, Paul Molitor On the implementation of an efficient performance driven generator for conditional-sum-adders. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Bertram Després, Robert Piloty, Ulf Schellin An approach to CAD database support of design consistency control. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Veronique Pla, Jean François Santucci, Norbert Giambiasi On the modeling and testing of VHDL behavioral descriptions of sequential circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1James R. Armstrong Hierarchical test generation: Where we are, and where we should be going. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Loganath Ramachandran, Sanjiv Narayan, Frank Vahid, Daniel D. Gajski Synthesis of functions and procedures in behavioral VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Xiao Sun 0002, Fabrizio Lombardi, Donatella Sciuto On the minimal test set for single fault location. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1M. Rullán, F. C. Blom, Joan Oliver, Carles Ferrer 0001 Layout-level design for testability rules for a CMOS cell library. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Ricardo P. Jacobi, Anne-Marie Trullemans A new logic minimization method for multiplexor-based FPGA synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Sanjiv Narayan, Daniel D. Gajski Features supporting system-level specification in HDLs. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Maria José Aguado, Miguel Miranda, Eduardo de la Torre, Carlos A. López-Barrio A dynamic communication strategy for the distributed ATPG system DPLATON. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Loganath Ramachandran, Daniel D. Gajski Architectural tradeoffs in synthesis of pipelined controls. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Marcus Bluml, Michael Lenzen, Adam Pawlak A workbench for generation of component models. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Hsiao-Ping Juan, Nancy D. Holmes, Smita Bakshi, Daniel D. Gajski Top-down modeling of RISC processors in VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Mitchell A. Thornton, V. S. S. Nair An iterative combinational logic synthesis technique using spectral information. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Román Hermida, Milagros Fernández, Francisco Tirado, Victor Manuel Sanchez, Pablo Ruperez An approach to module binding by fuzzy partitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Peter Poechmueller, Manfred Glesner, Fang Longsen High-level synthesis transformations for programmable architectures. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1M.-N. Sabry, M. S. Tawfik, Hazem ElTahawy, Serge Garcia Sabiro, Joel Besnard A novel and efficient technique for transient analysis of tightly coupled circuits: The integral equation method (IEM). Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Eric Felt, Gary York, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Dynamic variable reordering for BDD minimization. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Kai Zhu 0001, D. F. Wong 0001 Fast Boolean matching for field-programmable gate arrays. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Jens Müller 0008, Heinrich Krämer Analysis of multi-process VHDL specifications with a Petri net model. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Markus Robinson Technology independent boundary scan synthesis (design flow issues). Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1D. K. Arvind 0001 Locally optimistic methods of concurrent simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Kuang-Chien Chen Boolean matching based on Boolean unification. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
1Eero Pajarre, Tapani Ritoniemi, T. Tenhunen PAR-APLAC: Parallel Circuit Analysis and Optimization. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Werner Rissiek, Werner John A dynamic scheduling algorithm for the simulation of MOS and bipolar circuits using waveform relaxation. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Günter Dedié Challenges for CAD in computer development in the 1990s. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Klaus Glasmacher, Gerhard Zimmermann Chip assembly in the PLAYOUT VLSI design system. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Moe Shahdad 1992 VHDL standardization overview. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Vincent Olive, R. Airiau, J. M. Bergé, Anne Robert Using VHDL for datapath synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Timothy Kam, P. A. Subrahmanyam State machine abstraction from circuit layouts using BDD's: applications in verification and synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Michael Rumsey, Colin Farquhar Unifying tool, data and process flow management. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1C. A. Schot, Mattie N. Sim, Peter M. Kist ANT - a test harness for the NELSIS CAD system. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Alain Fonkoua, Jacques Rouillard VHDL intermediate format standardization activity: status and trends. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Jens Sparsø, Jørgen Staunstrup, Michael Dantzer-Sørensen Design of delay insensitive circuits using multi-ring structures. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker, Michael Hofmeister The design cube: a new model for VHDL designflow representation. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Serge Maginot Evaluation criteria of HDLs: VHDL compared to Verilog, UDL/I & M. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1J. L. Giordana Interest of a VHDL native environment. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Viraphol Chaiyakul, Allen C.-H. Wu, Daniel D. Gajski Timing models for high-level synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Peter Gutberlet, Jens Müller 0008, Heinrich Krämer, Wolfgang Rosenstiel Automatic module allocation in high level synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Roy Davies Electronic System Design: tools and methodology to meet the productivity challenge. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Takashi Shimamoto, Hidetaka Hane, Isao Shirakawa, Shuji Tsukiyama, Shoji Shinoda, Nobuyasu Yui, Nobuyuki Nishiguchi A distributed routing system for multilayer SOG. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Mark Beardslee, Bill Lin 0001, Alberto L. Sangiovanni-Vincentelli Communication based logic partitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Derek Feltham, Jitendra Khare, Wojciech Maly Design for testability view on placement and routing. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Yogesh Mishra, Sunil D. Sherlekar, G. Venkatesh 0001 Path breaker: a tool for the optimal design of speed independent asynchronous controllers. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 722 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license