The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPT"( http://dblp.L3S.de/Venues/FPT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpt

Publication years (Num. hits)
2002 (80) 2003 (77) 2004 (82) 2005 (63) 2006 (71) 2007 (70) 2008 (69) 2009 (22) 2010 (101) 2011 (66) 2012 (62) 2013 (94) 2014 (74) 2015 (45) 2016 (68) 2017 (54) 2018 (84) 2019 (90) 2020 (49) 2021 (52) 2022 (58)
Publication types (Num. hits)
inproceedings(1410) proceedings(21)
Venues (Conferences, Journals, ...)
FPT(1431)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1431 publication records. Showing 1431 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Koya Mitsuzuka, Yuta Tokusashi, Hiroki Matsutani MultiMQC: A Multilevel Message Queuing Cache Combining In-NIC and In-Kernel Memories. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kuang Ping Niu, Jason Helge Anderson Compact Area and Performance Modelling for CGRA Architecture Evaluation. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hongxiang Fan, Shuanglong Liu, Martin Ferianc, Ho-Cheung Ng, Zhiqiang Que, Shen Liu, Xinyu Niu, Wayne Luk A Real-Time Object Detection Accelerator with Compressed SSDLite on FPGA. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tian Tan 0007, Eriko Nurvitadhi, David Shih, Derek Chiou Evaluating The Highly-Pipelined Intel Stratix 10 FPGA Architecture Using Open-Source Benchmarks. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jin Hee Kim, Jongeun Lee, Jason Helge Anderson FPGA Architecture Enhancements for Efficient BNN Implementation. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jorge Echavarria, Stefan Wildermann, Jürgen Teich AConFPGA: A Multiple-Output Boolean Function Approximation DSE Technique Targeting FPGAs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shaoxia Fang, Lu Tian, Junbin Wang, Shuang Liang 0010, Dongliang Xie, Zhongmin Chen, Lingzhi Sui, Qian Yu, Xiaoming Sun, Yi Shan, Yu Wang 0002 Real-Time Object Detection and Semantic Segmentation Hardware System with Deep Learning Networks. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sadegh Yazdanshenas, Vaughn Betz Improving Confidentiality in Virtualized FPGAs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kevin E. Murray, Vaughn Betz Tatum: Parallel Timing Analysis for Faster Design Cycles and Improved Optimization. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kento Tajiri, Tsutomu Maruyama FPGA Acceleration of a Supervised Learning Method for Hyperspectral Image Classification. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Leandro de Souza Rosa, Vanderlei Bonato, Christos-Savvas Bouganis Scaling Up Loop Pipelining for High-Level Synthesis: A Non-iterative Approach. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Brett Grady, Jason Helge Anderson Synthesizable Heterogeneous FPGA Fabrics. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mike Strickland FPGA Accelerated HPC and Data Analytics. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bowen P. Y. Kwan, Gary C. T. Chow, Tim Todman, Wayne Luk, Wenguang Xu Lossy Multiport Memory. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Akira Kojima, Yohei Nose Development of an Autonomous Driving Robot Car Using FPGA. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Haomiao Wang, Ben Stappers, Prabu Thiagaraj, Oliver Sinnen Optimisation of Convolution of Multiple Different Sized Filters in SKA Pulsar Search Engine. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dionysios Diamantopoulos, Christoph Hagleitner A System-Level Transprecision FPGA Accelerator for BLSTM Using On-chip Memory Reshaping. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qiangpu Chen, Minghua Shen, Nong Xiao DP-Pack: Distributed Parallel Packing for FPGAs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Matthew B. Ashcraft, Jeffrey Goeders Unified On-Chip Software and Hardware Debug for HLS-Accelerated Programs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nadeen Gebara, Jiuxi Meng, Wayne Luk, Paolo Costa Scheduling Algorithms for High Performance Network Switching on FPGAs: A Survey. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hideharu Amano Accelerator-in-Switch: A Novel Cooperation Framework for FPGAs and GPUs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hiroki Nakahara, Masayuki Shimoda, Shimpei Sato A Tri-State Weight Convolutional Neural Network for an FPGA: Applied to YOLOv2 Object Detector. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Krystine Dawn Sherwin, Ben Stappers, Prabu Thiagaraj, Kevin I-Kai Wang, Oliver Sinnen Investigating How Hardware Architectures are Expressed in High-Level Languages for an SKA Algorithm. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kristiyan Manev, Dirk Koch Large Utility Sorting on FPGAs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yunhui Qiu, Hankun Lv, Jinyu Xie, Wenbo Yin, Lingli Wang Ultra-Low-Latency and Flexible In-memory Key-Value Store System Design on CPU-FPGA. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shuanglong Liu, Chenglong Zeng, Hongxiang Fan, Ho-Cheung Ng, Jiuxi Meng, Zhiqiang Que, Xinyu Niu, Wayne Luk Memory-Efficient Architecture for Accelerating Generative Networks on FPGA. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Siva Satyendra Sahoo, Tuan D. A. Nguyen, Bharadwaj Veeravalli, Akash Kumar 0001 QoS-Aware Cross-Layer Reliability-Integrated FPGA-Based Dynamic Partially Reconfigurable System Partitioning. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shane T. Fleming, David B. Thomas Injecting FPGA Configuration Faults in Parallel. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Robert Hale, Brad L. Hutchings Distributed-Memory Based FPGA Debug: Design Timing Impact. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jakub Cabal, Lukás Kekely, Jan Korenek High-Speed Computation of CRC Codes for FPGAs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wenzhi Fu, Jianlei Yang 0001, Pengcheng Dai, Yiran Chen 0001, Weisheng Zhao A Scalable Pipelined Dataflow Accelerator for Object Region Proposals on FPGA Platform. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Antoniette Mondigo, Kentaro Sano, Hiroyuki Takizawa Enhancing Memory Bandwidth in a Single Stream Computation with Multiple FPGAs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dennis R. E. Gnad, Sascha Rapp, Jonas Krautter, Mehdi Baradaran Tahoori Checking for Electrical Level Security Threats in Bitstreams for Multi-tenant FPGAs. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nam Ho, Paul Kaufmann, Marco Platzner Evolvable caches: Optimization of reconfigurable cache mappings for a LEON3/Linux-based multi-core processor. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Taito Manabe, Yuichiro Shibata, Kiyoshi Oguri FPGA implementation of a real-time super-resolution system with a CNN based on a residue number system. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hai Peng, Xiaofan Zhang 0001, Letian Huang An energy efficient approach for C4.5 algorithm using OpenCL design flow. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Harald Homulle, Edoardo Charbon Performance characterization of Altera and Xilinx 28 nm FPGAs at cryogenic temperatures. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rongdi Sun, Peilin Liu, Jun Wang 0137, Cecil Accetti, Abid A. Naqvi A 42fps full-HD ORB feature extraction accelerator with reduced memory overhead. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Baofu Zhao, Yubin Li, Yu Wang 0002, Huazhong Yang Streaming sorting network based BWT acceleration on FPGA for lossless compression. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Daewoo Kim, Mansureh S. Moghaddam, Hossein Moradian, Hyeon Uk Sim, Jongeun Lee, Kiyoung Choi FPGA implementation of convolutional neural network based on stochastic computing. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Moucheng Yang, Jifang Jin, Zhehao Li, Xuegong Zhou, Shaojun Wang, Lingli Wang A scalable hybrid architecture for high performance data-parallel applications. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sadegh Yazdanshenas, Vaughn Betz Automatic circuit design and modelling for heterogeneous FPGAs. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lekhobola J. Tsoeunyane, Simon Winberg, Michael Inggs An IP core integration tool-flow for prototyping software-defined radios using static dataflow with access patterns. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1He Li 0008, James J. Davis 0001, John Wickerson, George A. Constantinides architect: Arbitrary-precision constant-hardware iterative compute. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mankit Sit, Ryosuke Kazami, Hideharu Amano FPGA-based accelerator for losslessly quantized convolutional neural networks. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hiroki Nakahara, Haruyoshi Yonekawa, Shimpei Sato An object detector based on multiscale sliding window search using a fully pipelined binarized CNN on an FPGA. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hossein Omidian, Guy G. F. Lemieux Exploring automated space/time tradeoffs for OpenVX compute graphs. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yoshikazu Watanabe, Yuki Kobayashi, Takashi Takenaka, Takeo Hosomi, Yuichi Nakamura 0002 Accelerating NFV application using CPU-FPGA tightly coupled architecture. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jincheng Yu, Yiming Hu, Xuefei Ning, Jiantao Qiu, Kaiyuan Guo, Yu Wang 0002, Huazhong Yang Instruction driven cross-layer CNN accelerator with winograd transformation on FPGA. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 International Conference on Field Programmable Technology, FPT 2017, Melbourne, Australia, December 11-13, 2017 Search on Bibsonomy FPT The full citation details ... 2017 DBLP  BibTeX  RDF
1Shaoyi Cheng, Qijing Huang 0001, John Wawrzynek Synthesis of program binaries into FPGA accelerators with runtime dependence validation. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1P. M. K. Tharaka, D. M. D. Wijerathne, Navoda Perera, Dinushan Vishwajith, Ajith Pasqual Runtime rule-reconfigurable high throughput NIPS on FPGA. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Erik H. D'Hollander, Bruno Chevalier, Koen De Bosschere Calling hardware procedures in a reconfigurable accelerator using RPC-FPGA. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1William Diehl, Abubakr Abdulgadir, Jens-Peter Kaps, Kris Gaj Comparing the cost of protecting selected lightweight block ciphers against differential power analysis in low-cost FPGAs. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei Li, Yangyang Zhao, Yuhang Liu 0001, Mingyu Chen 0001 SMEFF: A scalable memory extension fabric for FPGA. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jack Yinger, Eriko Nurvitadhi, Davor Capalija, Andrew C. Ling, Debbie Marr, Krishnan Srivatsan, Duncan J. M. Moss, Suchit Subhaschandra Customizable FPGA OpenCL matrix multiply design template for deep neural networks. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Donald G. Bailey Hough transform line reconstruction on FPGA using back-projection. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Prajith Ramakrishnan Geethakumari, Vincenzo Gulisano, Bo Joel Svensson, Pedro Trancoso, Ioannis Sourdis Single window stream aggregation using reconfigurable hardware. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siew-Kei Lam, Rakesh Kumar Bijarniya, Meiqing Wu Lowering dynamic power in stream-based harris corner detection architecture. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shadi Assadikhomami, Jennifer Ongko, Tor M. Aamodt A state machine block for high-level synthesis. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andrew Ang, Matt Bourne, Robin Dykstra An open source PXIe ecosystem based on FPGA modules. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sam M. H. Ho, Hayden Kwok-Hay So NnCore: A parameterized non-linear function generator for machine learning applications in FPGAs. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dries Vercruyce, Elias Vansteenkiste, Dirk Stroobandt Liquid: High quality scalable placement for large heterogeneous FPGAs. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Junqi Yuan, Lingli Wang, Xuegong Zhou, Yinshui Xia, Jianping Hu RBSA: Range-based simulated annealing for FPGA placement. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qian Zhao 0001, Hendarmawan, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi hCODE 2.0: An open-source toolkit for building efficient FPGA-enabled clouds. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ahmad Salman, William Diehl, Jens-Peter Kaps A light-weight hardware/software co-design for pairing-based cryptography with low power and energy consumption. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bingyi Li, Linlin Fang, Yizhuang Xie, He Chen, Liang Chen 0004 A unified reconfigurable floating-point arithmetic architecture based on CORDIC algorithm. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Justin S. J. Wong, Runbin Shi, Maolin Wang, Hayden Kwok-Hay So Ultra-low latency continuous block-parallel stream windowing using FPGA on-chip memory. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Felix Winterstein, George A. Constantinides Pass a pointer: Exploring shared virtual memory abstractions in OpenCL tools for FPGAs. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Weikang Fang, Yanjun Zhang, Bo Yu 0014, Shaoshan Liu FPGA-based ORB feature extraction for real-time visual SLAM. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dong Wang 0040, Ke Xu 0011, Diankun Jiang PipeCNN: An OpenCL-based open-source FPGA accelerator for convolution neural networks. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Anju P. Johnson, Junxiu Liu, Alan G. Millard, Shvan Karim, Andy M. Tyrrell, Jim Harkin, Jon Timmis, Liam McDaid, David M. Halliday Homeostatic fault tolerance in spiking neural networks utilizing dynamic partial reconfiguration of FPGAs. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Patrick Sittel, Konrad Möller, Martin Kumm, Peter Zipf, Bogdan Pasca 0001, Mark Jervis Model-based hardware design based on compatible sets of isomorphic subgraphs. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1William Kamp AXI over Ethernet; a protocol for the monitoring and control of FPGA clusters. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Saud Wasly, Rodolfo Pellizzoni, Nachiket Kapre HopliteRT: An efficient FPGA NoC for real-time applications. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Artur Podobas, Satoshi Matsuoka Designing and accelerating spiking neural networks using OpenCL for FPGAs. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Roberto DiCecco, Lin Sun, Paul Chow FPGA-based training of convolutional neural networks with a reduced precision floating-point library. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ekawat Homsirikamol, Kris Gaj Toward a new HLS-based methodology for FPGA benchmarking of candidates in cryptographic competitions: The CAESAR contest case study. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Brice Colombier, Lilian Bossuet, Ugo Mureddu, David Hély A comprehensive hardware/software infrastructure for IP cores design protection. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhiqiang Liu, Yong Dou, Jingfei Jiang, Qiang Wang 0006, Paul Chow An FPGA-based processor for training convolutional neural networks. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amit Kulkarni 0002, Poona Bahrebar, Dirk Stroobandt, Giulio Stramondo, Catalin Bogdan Ciobanu, Ana Lucia Varbanescu A NoC-based custom FPGA configuration memory architecture for ultra-fast micro-reconfiguration. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dan Cristian Turicu, Octavian Cret, Lucia Vacariu High performance serial ATA Gen3 controllers on FPGA devices. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Masayuki Shimoda, Shimpei Sato, Hiroki Nakahara All binarized convolutional neural network and its implementation on an FPGA. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kentaro Katayama, Hidetoshi Matsumura, Hiroaki Kameyama, Shinichi Sazawa, Yasuhiro Watanabe An FPGA-accelerated high-throughput data optimization system for high-speed transfer via wide area network. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ke Cui, Zongkai Liu, Rihong Zhu, Xiangyu Li 0005 FPGA-based high-performance time-to-digital converters by utilizing multi-channels looped carry chains. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Brian Jarvis, Kris Gaj Selection of an error-correcting code for FPGA-based physical unclonable functions. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Weijia Li, Conghui He, Haohuan Fu, Wayne Luk An FPGA-based tree crown detection approach for remote sensing images. Search on Bibsonomy FPT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yiren Zhao, John Wickerson, George A. Constantinides An efficient implementation of online arithmetic. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lana Josipovic, Nithin George, Paolo Ienne Enriching C-based High-Level Synthesis with parallel pattern templates. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhehao Li, Jifang Jin, Lingli Wang, Ji Yang, Jiahua Lu A moving object extraction and classification system based on Zynq and IBM SuperVessel. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Eriko Nurvitadhi, David Sheffield, Jaewoong Sim, Asit K. Mishra, Ganesh Venkatesh, Debbie Marr Accelerating Binarized Neural Networks: Comparison of FPGA, CPU, GPU, and ASIC. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Li Ding, Ping Kang, Wenbo Yin, Linli Wang Hardware TCP Offload Engine based on 10-Gbps Ethernet for low-latency network communication. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yonghua Lin FPGA as service in public Cloud: Why and how. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yuzhi Zhou, Xi Jin 0002, Tian Xiang Fixed-ratio DXT format Frame Buffer Compressor for mobile graphics systems. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ali Asghar, Muhammad Mazher Iqbal, Waqar Ahmed, Mujahid Ali, Husain Parvez, Muhammad Rashid Exploring shared SRAM tables among NPN equivalent large LUTs in SRAM-based FPGAs. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Quentin Gautier, Alric Althoff, Pingfan Meng, Ryan Kastner Spector: An OpenCL FPGA benchmark suite. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lingkan Gong, Tong Wu 0007, Nguyen T. H. Nguyen, Dimitris Agiakatsikas, Zhuoran Zhao, Ediz Cetin, Oliver Diessel A Programmable Configuration Controller for fault-tolerant applications. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Martin Krcma, Zdenek Kotásek, Jakub Lojda Implementation of fault tolerant techniques into FPNNs. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lin Li, Quansheng Yang SMCFA: A Zynq-based stacked multi CPU-FPGA architecture. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Otávio Alcântara de Lima Jr., Weslley N. Costa, Virginie Fresse, Frédéric Rousseau 0001 A survey of NoC evaluation platforms on FPGAs. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1431 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license