The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "HLDVT"( http://dblp.L3S.de/Venues/HLDVT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/hldvt

Publication years (Num. hits)
2000 (29) 2001 (29) 2002 (33) 2003 (28) 2004 (32) 2005 (31) 2006 (33) 2007 (28) 2008 (26) 2009 (29) 2010 (26) 2011 (24) 2012 (25) 2016 (28) 2017 (16)
Publication types (Num. hits)
inproceedings(402) proceedings(15)
Venues (Conferences, Journals, ...)
HLDVT(417)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 417 publication records. Showing 417 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Olga Goloubeva, Matteo Sonza Reorda, Massimo Violante High-level test generation for hardware testing and software validation. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Alessandro Fin, Franco Fummi Genetic algorithms: the philosopher's stone or an effective solution for high-level TPG? Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Moshe Levinger, Avi Ziv, Brian Bailey, Jacob Abraham, Bob Bentley, William H. Joyner, Yaron Kas Panel: What's the next 'big thing' in simulation-based verification? Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Flávio Miana de Paula, Claudionor José Nunes Coelho Jr., Harry Foster, José Augusto Miranda Nacif, Joseph Tompkins, Antônio Otávio Fernandes, Diógenes Cecilio da Silva Jr. Refactoring digital hardware designs with assertion libraries. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Li-C. Wang A comparison of BDDs, BMC, and sequential SAT for model checking. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Roy Emek, Yehuda Naveh Scheduling of transactions for system-level test-case generation. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens Automatic functional verification of memory oriented global source code transformations. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Kameshwar Chandrasekar, Michael S. Hsiao ATPG-based preimage computation: efficient search space pruning with ZBDD. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Franco Fummi, Cristina Marconcini, Graziano Pravadelli Redundant functional faults reduction by saboteurs synthesis [logic verification]. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Patrick Schaumont, Kazuo Sakiyama, Yi Fan, David D. Hwang, Shenglin Yang, Alireza Hodjat, Bo-Cheng Lai, Ingrid Verbauwhede Testing ThumbPod: Softcore bugs are hard to find. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1 Eighth IEEE International High-Level Design Validation and Test Workshop 2003, San Francisco, CA, USA, November 12-14, 2003 Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  BibTeX  RDF
1Markus Braun, Wolfgang Rosenstiel, Klaus-Dieter Schubert Comparison of Bayesian networks and data mining for coverage directed verification category simulation-based verification. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Íñigo Ugarte, Pablo Sanchez Functional vector generation for assertion-based verification at behavioral level using interval analysis. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Sandeep K. Shukla, Ramesh Karri, Seth Copen Goldstein, Forrest Brewer, Kaustav Banerjee, Sankar Basu Nano, quantum, and molecular computing: are we ready for the validation and test challenges? Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Dhiraj K. Pradhan Logic transformation and coding theory-based frameworks for Boolean satisfiability. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Xiaoliang Bai, Li Chen, Sujit Dey Software-based self-test methodology for crosstalk faults in processors. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Dhiraj K. Pradhan, Serkan Askar, Maciej J. Ciesielski Mathematical framework for representing discrete functions as word-level polynomials. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Shai Fine, Avi Ziv Enhancing the control and efficiency of the covering process [logic verification]. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Rajat Arora, Michael S. Hsiao Enhancing SAT-based equivalence checking with static logic implications. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Sivaram Gopalakrishnan, Vijay Durairaj, Priyank Kalla Integrating CNF and BDD based SAT solvers. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Allon Adir, Eyal Bin, Ofer Peled, Avi Ziv Piparazzi: a test program generator for micro-architecture flow verification. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Fulvio Corno, Paolo Gabrielli, Simonluca Tosato Relating vehicle-level and network-level reliability through high-level fault injection. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Daniel Große, Rolf Drechsler BDD-based verification of scalable designs. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Merav Aharoni, Sigal Asaf, Laurent Fournier, Anatoly Koyfman, Raviv Nagel FPgen - a test generation framework for datapath floating-point verification. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Solaiman Rahim, Bruno Rouzeyre, Lionel Torres, Jérôme Rampon Matching in the presence of don't cares and redundant sequential elements for sequential equivalence checking. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Jennifer Campbell, Nancy A. Day High-level optimization of pipeline design. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Mohammad Reza Mousavi 0001, Giovanni Russello, Michel R. V. Chaudron, Michel A. Reniers, Twan Basten, Angelo Corsaro, Sandeep K. Shukla, Rajesh K. Gupta 0001, Douglas C. Schmidt Using Aspect-GAMMA in the design of embedded systems. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Markus Wannemacher, Mihai Munteanu, Sacha Perret, Rolf Singer Taking the best out of two worlds: prototyping and hardware emulation. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Marie-Lise Flottes, Regis Poirier, Bruno Rouzeyre A simple and effective compression scheme for test pins reduction. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1H. N. Nguyen, P. Koumou, Bernard Candaele, Michel Sarlotte, Christian Antoine, S. Emeriau Verification of a DSP IP cores by model checking. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Amnon Rosenmann, Ziyad Hanna Alignability equivalence of synchronous sequential circuits. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1A. Castelnuovo, Andrea Fedeli, Alessandro Fin, Franco Fummi, Graziano Pravadelli, Umberto Rossi, F. Sforza, Franco Toto A 1000X speed up for properties completeness evaluation. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Matteo Sonza Reorda, Massimo Violante, Nicola Mazzocca, Salvatore Venticinque, Andrea Bobbio, Giuliana Franceschinis A hierarchical approach for designing dependable systems. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1César A. M. Marcon, Fabiano Hessel, Alexandre M. Amory, Luis H. L. Ries, Fernando Gehm Moraes, Ney Laert Vilar Calazans Prototyping of embedded digital systems from SDL language: a case study. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Idriz Smaili, Astrit Ademaj Setting break-points in distributed time-triggered architecture. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Gert Jervan, Zebo Peng, Olga Goloubeva, Matteo Sonza Reorda, Massimo Violante High-level and hierarchical test sequence generation. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Allon Adir, Roy Emek, Eitan Marcus Adaptive test program generation: planning for the unplanned. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Axel G. Braun, Joachim Gerlach, Wolfgang Rosenstiel Checking temporal properties in SystemC specifications. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Astrit Ademaj Slightly-off-specification failures in the time-triggered architecture. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ranan Fraer, Shahid Ikram, Gila Kamhi, Tim Leonard, Abdel Mokkedem Accelerated verification of RTL assertions based on satisfiability solvers. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1David A. Sigüenza-Tortosa, Jari Nurmi VHDL-based simulation environment for Proteo NoC. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Priyank Kalla, Maciej J. Ciesielski, Emmanuel Boutillon, Eric Martin 0001 High-level design verification using Taylor Expansion Diagrams: first results. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1John Dielissen, Benito Otero Mathijssen, Jos Huisken Breaking an application specific instruction-set processor: the first step towards embedded software testing. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Iuliana Bacivarov, Sungjoo Yoo, Ahmed Amine Jerraya Timed HW-SW cosimulation using native execution of OS and application SW. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Zurab Khasidashvili, John Moondanos, Ziyad Hanna TRANS: efficient sequential verification of loop-free circuits. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Bob Bentley High level validation of next-generation microprocessors. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Hiroshi Saito, Takaya Ogawa, Thanyapat Sakunkonchak, Masahiro Fujita, Takashi Nanya An equivalence checking methodology for hardware oriented C-based specifications. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Allon Adir, Gil Shurek Generating concurrent test-programs with collisions for multi-processor verification. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1David Malandain, Pim Palmen, Matthew Taylor, Merav Aharoni, Yaron Arbetman An effective and flexible approach to functional verification of processor families. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Xi Chen 0024, Fang Chen, Harry Hsieh, Felice Balarin, Yosinori Watanabe Formal verification of embedded system designs at multiple levels of abstraction. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Fei Xin, Ian G. Harris Test generation for hardware-software covalidation using non-linear programming. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1 Seventh IEEE International High-Level Design Validation and Test Workshop 2002, Cannes, France, October 27-29, 2002 Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  BibTeX  RDF
1Gethin Norman, David Parker 0001, Marta Z. Kwiatkowska, Sandeep K. Shukla, Rajesh K. Gupta 0001 Formal analysis and validation of continuous-time Markov chain based system level power management strategies. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Klaus-Dieter Schubert Practical experiences in functional simulation. An integrated method from unit to co-simulation. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Gérard Berry, Lionel Blanc, Amar Bouali, Jerome Dormoy Top-level validation of system-on-chip in Esterel Studio. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Roy Emek, Itai Jaeger, Yehuda Naveh, Gadi Bergman, Guy Aloni, Yoav Katz, Monica Farkash, Igor Dozoretz, Alex Goldin X-Gen: a random test-case generator for systems and SoCs. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Prabhat Mishra 0001, Nikil D. Dutt Automatic functional test program generation for pipelined processors using model checking. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Piotr Gawkowski, Janusz Sosnowski Experimental validation of fault detection and fault tolerance mechanisms. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Alex Wakefield, Bassam Jamil Mohd Constructing reusable testbenches. Search on Bibsonomy HLDVT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Nabarun Bhattacharyya, A. Wang Automatic test generation for micro-architectural verification of configurable microprocessor cores with user extensions. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Peer Johannsen Reducing bitvector satisfiability problems to scale down design sizes for RTL property checking. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Marek Jersak, Kai Richter 0001, Rolf Ernst Combining complex event models and timing constraints. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Zurab Khasidashvili, John Moondanos, Daher Kaiss, Ziyad Hanna An enhanced cut-points algorithm in formal equivalence verification. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Michael S. Hsiao, Jawahar Jain Practical use of sequential ATPG for model checking: going the extra mile does pay off. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ganapathy Parthasarathy, Chung-Yang Huang, Kwang-Ting Cheng An analysis of ATPG and SAT algorithms for formal verification. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Prabhat Mishra 0001, Nikil D. Dutt, Alex Nicolau Automatic validation of pipeline specifications. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ian G. Harris Hardware-software covalidation: fault models and test generation. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Roope Kaivola, Naren Narasimhan Formal verification of the Pentium(R) 4 multiplier. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1 Proceedings of the Sixth IEEE International High-Level Design Validation and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001 Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  BibTeX  RDF
1Sandeep K. Shukla, Rajesh K. Gupta 0001 A model checking approach to evaluating system level dynamic power management policies for embedded systems. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Dong Wang, Edmund M. Clarke, Yunshan Zhu, James H. Kukula Using cutwidth to improve symbolic simulation and Boolean satisfiability. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Byeong Min, Gwan Choi RTL functional verification using excitation and observation coverage. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Farzan Fallah, Indradeep Ghosh Observability enhanced coverage analysis of C programs for functional validation. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Srikanth Arekapudi, Fei Xin, Jinzheng Peng, Ian G. Harris Test pattern generation for timing-induced functional errors in hardware-software systems. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Shuvendu K. Lahiri, Carl Pixley, Ken Albin Experience with term level modeling and verification of the M*CORE TM microprocessor core. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Maciej J. Ciesielski, Priyank Kalla, Zhihong Zeng, Bruno Rouzeyre Taylor expansion diagrams: a new representation for RTL verification. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Annette Bunker, Ganesh Gopalakrishnan Using live sequence charts for hardware protocol specification and compliance verification. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Claudia Blank, Hans Eveking, Jens Levihn, Gerd Ritter Symbolic simulation techniques-state-of-the-art and applications. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Noppanunt Utamaphethai, Ronald D. Blanton, John Paul Shen Relating buffer-oriented microarchitecture validation to high-level pipeline functionality. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Tim Braun, Anne Condon, Alan J. Hu, Kai S. Juse, Marius Laza, Michael Leslie, Rita Sharma Proving sequential consistency by model checking. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Felice Balarin, Jerry R. Burch, Luciano Lavagno, Yosinori Watanabe, Roberto Passerone, Alberto L. Sangiovanni-Vincentelli Constraints specification at higher levels of abstraction. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Chun-Yao Wang, Shing-Wu Tung, Jing-Yang Jou On generation of the minimum pattern set for data path elements in SoC design verification based on port order fault model. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Sungjoo Yoo, Gabriela Nicolescu, Lovic Gauthier, Ahmed Amine Jerraya Fast timed cosimulation of HW/SW implementation of embedded multiprocessor SoC communication. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Michael D. McKinney Integrating Perl, Tcl and C++ into simulation-based ASIC verification environments. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Jayanta Bhadra, Andrew K. Martin, Jacob A. Abraham, Magdy S. Abadir A language formalism for verification of PowerPCTM custom memories using compositions of abstract specifications. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Allon Adir, Eitan Marcus, Michal Rimon, Amir Voskoboynik Improving test quality through resource reallocation. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy Estimating the relative single stuck-at fault coverage of test sets for a combinational logic block from its functional description. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Kiyoharu Hamaguchi Symbolic simulation heuristics for high-level design descriptions with uninterpreted functions. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Fulvio Corno, Gianluca Cumani, Matteo Sonza Reorda, Giovanni Squillero An RT-level fault model with high gate level correlation. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Robert Pasko, Radim Cmar, Patrick Schaumont, Serge Vernalde Functional verification of an embedded network component by co-simulation with a real network. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Harry Hsieh, Felice Balarin Refining abstract equivalence analysis for embedded system design. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Cordula Hansen, Wolfgang Rosenstiel Transformation of algorithmic simulation vector sets considering mapping problems of I/O operations. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Hajime Yamashita, Hiroto Yasuura, Eko Fajar, Yun Cao Variable size analysis and validation of computation quality. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Matthias Pflanz, Christian Galke, Heinrich Theodor Vierhaus A new method for on-line state machine observation for embedded microprocessors. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Debashis Panigrahi, Clark N. Taylor, Sujit Dey Interface based hardware/software validation of a system-on-chip. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Jürgen Ruf, Dirk W. Hoffmann, Thomas Kropf, Wolfgang Rosenstiel Checking temporal properties under simulation of executable system descriptions. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Sandhya Seshadri, Michael S. Hsiao Formal operator testability methods for behavioral-level DFT using value ranges. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Amjad Hajjar, Tom Chen 0001, Anneliese von Mayrhauser On statistical behavior of branch coverage in testing behavioral VHDL models. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Mihai T. Lazarescu, Jwahar R. Bammi, Edwin A. Harcourt, Luciano Lavagno, Marcello Lajolo Compilation-based software performance estimation for system level design. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Tianjing Jiang, Robert H. Klenke, James H. Aylor, Gang Han System level testability analysis using Petri nets. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 417 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license