The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISIC"( http://dblp.L3S.de/Venues/ISIC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/IEEEisic

Publication years (Num. hits)
2002 (160) 2004 (90) 2005 (271) 2007 (119) 2008 (47) 2010 (65) 2011 (40) 2012 (42) 2013-2014 (247) 2016 (96) 2021 (63)
Publication types (Num. hits)
inproceedings(1225) proceedings(15)
Venues (Conferences, Journals, ...)
ISIC(1240)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1240 publication records. Showing 1240 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Wangchen Dai, Huapeng Wu, Ray C. C. Cheung Time-efficient computation of digit serial Montgomery multiplication. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amin Ojani, Behzad Mesgarzadeh, Atila Alvandpour A low-power direct IQ upconversion technique based on duty-cycled multi-phase sub-harmonic passive mixers for UWB transmitters. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Juinn-Dar Huang, Chia-Hung Liu Sample preparation for droplet-based microfluidics. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph S. Chang, Ne Kyaw Zwa Lwin A dynamic-voltage-scaling 1kbyte×8-bit non-imprinting Master-Slave SRAM with high speed erase for low-power operation. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bin Zhao, Hongbao Zhang, LayKeng Lim, Xin Liu 0015, M. Kumarasamy Raja Low-power multi-function multi-mode baseband design for low data rate applications. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Meiqing Wu, Siew Kei Lam, Thambipillai Srikanthan, Tushar Shah Vision-based pedestrian tracking system using color and motion cue. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhi-xiong Ren, Ke-feng Zhang, Lan-qi Liu, Cong Li, Xiaofei Chen, Dongsheng Liu, Zhenglin Liu, Xuecheng Zou A +33dBm 1.9 GHz linear CMOS power amplifier with MOS-level linearizers. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jin He 0002, Yong-Zhong Xiong, Jiankang Li, Debin Hou, Sanming Hu, Dan Lei Yan, Muthukumaraswamy Annamalai Arasu, Yue Ping Zhang A fully integrated 166-GHz frequency synthesizer in 0.13-μm SiGe BiCMOS for D-band applications. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pedro Miguens Matutino, Ricardo Chaves, Leonel Sousa ROM-less RNS-to-binary converter moduli {22n - 1, 22n + 1, 2n - 3, 2n + 3}. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yihu Li, Yong-Zhong Xiong, Goh Wang-Ling A 330 GHz frequency modulator using 0.13-μm SiGe HBTs. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuejun Zhang, Pengjun Wang, Jianrui Li, Gang Li Design of threshold dominant delay Physical Unclonable Functions in 65nm CMOS. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peng Chen 0004, Chao Wang 0003, Xi Li 0003, Xuehai Zhou, Aili Wang 0003, Ray C. C. Cheung Trade-offs between the sensitivity and the speed of the FPGA-based sequence aligner. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pietro Albicocco, Gian Carlo Cardarilli, Alberto Nannarelli, Marco Re Twenty years of research on RNS for DSP: Lessons learned and future perspectives. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mengyang Li, Aijiao Cui, Tingting Yu An improved scan cell ordering method using the scan cells with complementary outputs. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniel T. Grissom, Jeffrey McDaniel, Philip Brisk Performance and cost analysis of NoC-inspired virtual topologies for digital microfluidic biochips. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seong-Jin Kim, Simon Sheung Yan Ng, David Wee, Yoon Hwee Leow, Fan-Yung Ma, Sie Boo Chiang High accuracy remote temperature sensor based on BJT devices in 0.13-μm CMOS. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jin He 0002, Yong-Zhong Xiong, Jian Kang Li, Debin Hou, Sanming Hu, Dan Lei Yan, Muthukumaraswamy Annamalai Arasu, Yue Ping Zhang A fully integrated 166-GHz frequency synthesizer in 0.13-μm SiGe BiCMOS for D-band applications. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shunli Ma, Junyan Ren, Hao Yu 0001 An overview of new design techniques for high performance CMOS millimeter-wave circuits. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shairfe Muhammad Salahuddin, Volkan Kursun High-speed and low-leakage FinFET SRAM cell with enhanced read and write voltage margins. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Robert Specht, Johann Heyszl, Georg Sigl Investigating measurement methods for high-resolution electromagnetic field side-channel analysis. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Felix Lang, Markus Grozing, Manfred Berroth Design of a 4 GS/s radix-1.75 single channel pipeline ADC in 28 nm CMOS technology with foreground calibration. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ying-Hui Lai, Fei Chen 0011, Yu Tsao 0001 Effect of adaptive envelope compression in simulated electric hearing in reverberation. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xinyuan Qian, Hang Yu 0002, Shoushun Chen, Kay Soon Low Design and characterization of radiation-tolerant CMOS 4T Active Pixel Sensors. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dipankar Nag, Kevin Tshun Chuan Chai High performance ΣΔ closed loop accelerometer. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Leo John Chemmanda, Colin Chue Jianrong, Ravinder Pal Singh, Yalon Roterman ASIC front-end for sensing MEMS-mirror position. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dan Yang, Guoyi Yu, Xuecheng Zou, Yelei Deng, Jianfu Zhong The design and verification of a novel LDPC decoder with high-efficiency. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wen-Yaw Chung, Pei-Shan Yu, Angelito A. Silverio A maximum power point tracking and voltage regulated dual-chip system for single-cell photovoltaic energy harvesting. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tobias Tired, Henrik Sjöland, Carl Bryant, Markus Törmänen A 28 GHz SiGe QVCO with an I/Q phase error detector for an 81-86 GHz E-band transceiver. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 2014 International Symposium on Integrated Circuits (ISIC), Singapore, December 10-12, 2014 Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  BibTeX  RDF
1Subhrajit Roy, Sougata Kumar Kar, Arindam Basu Architectural exploration for on-chip, online learning in spiking neural networks. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Quoc-Tai Duong, Atila Alvandpour Low noise linear and wideband transconductance amplifier design for current-mode frontend. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Natarajan Sudha, K. Sridharan 0001, Dan Wilkinson A pipelined architecture for motion tracking on a multicore environment. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kun Ao, Yajuan He, Liang Li, Yuxin Wang, Qiang Li 0021 A 14-bit 100MS/s pipelined A/D converter with 2b interstage redundancy. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wing Oi Siu, Terrence S. T. Mak Intra- and inter-chip voltage droop analysis using a power delivery grid model. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yiqun Wei, Xinnan Lin An improved SPICE model of phase-change memory (PCM) for peripheral circuits simulation and design. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Junbeom Yoo, Eui-Sub Kim, Dong-Ah Lee, Jong-Gyun Choi, Young-Jun Lee, Jang-Soo Lee NuDE 2.0: A model-based software development environment for the PLC & FPGA based digital systems in nuclear power plants. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hillary Siewobr, Kazeem Alagbe Gbolagade, Sorin Cotofana An efficient residue-to-binary converter for the new moduli set {2n/2 ± 1, 22n+1, 2n + 1}. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ameya Bhide, Atila Alvandpour Timing challenges in high-speed interleaved ΔΣ DACs. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yangyang Ma, Shengqi Yang, Zhangqin Huang, Yibin Hou, Leqiang Cui, Dongfang Yang Hierarchical air quality monitoring system design. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yajuan He, Song Wang, Qi Ling, Qiang Li 0021 A digital calibration technique for multi-bit-per-stage pipelined ADC. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kiat Seng Yeo, Mojy Curtis Chian, Tony Chon Wee Ng, Anh-Tuan Do Internet of Things: Trends, challenges and applications. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amir Sabbagh Molahosseini, Azadeh Alsadat Emrani Zarandi, Seyed Mostafa Mirhosseini, Mehdi Hosseinzadeh 0001 Rethinking reverse converter design: From algorithms to hardware components. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei Yi Lim, M. Annamalai Arasu, M. Kumarasamy Raja Modeling of two port center-tapped to ground and three port scalable symmetrical inductor. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jakub Breier, Dirmanto Jap A survey of the state-of-the-art fault attacks. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chao Liu, Qiang Li, Yong-Zhong Xiong A compact Ka-band SPDT switch with high isolation. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jean-Claude Bajard, Julien Eynard, Nabil Merkiche, Thomas Plantard Babaï round-off CVP method in RNS: Application to lattice based cryptographic protocols. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hitoshi Oi Case study: Effectiveness of dynamic frequency scaling on server workload. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cesare Alippi, Andrey Bogdanov, Francesco Regazzoni 0001 Lightweight cryptography for constrained devices. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Martin Lukasiewycz, Shanker Shreejith, Suhaib A. Fahmy System simulation and optimization using reconfigurable hardware. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dawei Li, Dongsheng Liu, Xuecheng Zou, Zilong Liu, Lun Li A low power injection-locked divider for body sensor network. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hou Zhao Qi Rex, Jong Ching Chuen, Andreas Herkersdorf Apps-usage driven energy management for multicore mobile computing systems. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tongqiang Gao, Xiaodong Xu, Hongfeng Zhang, Haigang Yang A highly-integrated wireless configuration circuit for FPGA chip. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ting Guo, Zhiqun Li, Qin Li, Zhigong Wang A 20.5GHz wide-band programmable divide-by-N frequency divider. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuen-Haw Chang, Yu-Jhang Chen Modeling and implementation of high-gain switched-inductor switched-capacitor converter. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nirmala Ramakrishnan, Meiqing Wu, Siew Kei Lam, Thambipillai Srikanthan Mask-based non-maximal suppression with iterative pruning for low-complexity corner detection. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Suyan Fan, Man-Kay Law, Pui-In Mak, Rui Paulo Martins A 0.3-V, 37.5-nW 1.5∼6.5-pF-input-range supply voltage tolerant capacitive sensor readout. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Qiang Li, Jing Wang, Yasuaki Inoue A high efficiency CMOS rectifier with ON-OFF response compensation for wireless power transfer in biomedical applications. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mario Garrido, Miguel Acevedo, Andreas Ehliar, Oscar Gustafsson Challenging the limits of FFT performance on FPGAs (Invited paper). Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhekai Xiao, Chiang Liang Kok, Liter Siek Triple boundary multiphase with predictive interleaving technique for switched capacitor DC-DC converter regulation. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ronald D. Blanton, Xin Li 0001, Ken Mai, Diana Marculescu, Radu Marculescu, Jeyanandh Paramesh, Jeff G. Schneider, Donald E. Thomas SLIC: Statistical learning in chip. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Philipp Wehner, Fynn Schwiegelshohn, Diana Göhringer, Michael Hübner 0001 Development of driver assistance systems using virtual hardware-in-the-loop. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tso-Bing Juang, Yu-Ming Chiu Fast binary to BCD converters for decimal communications using new recoding circuits. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alexander Herrmann, Marc Stöttinger Constructive side-channel analysis for secure hardware design. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiaodong Deng, Yihu Li, Jiankang Li, Wen Wu, Yong-Zhong Xiong A 340 GHz fully integrated transmitter for high-speed communications. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Supriya Sathyanarayana, Ravi Kumar Satzoda, Suchitra Sathyanarayana, Thambipillai Srikanthan Reducing computational complexity for face detection. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Joana Côrte-Real, Inês de Castro Dutra, Ricardo Rocha 0001 A hybrid mapreduce model for prolog. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jun Yu, Muthukumaraswamy Annamalai Arasu Transducer driver with active bootstrap switch. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Subramanian Shiva Shankar, Pinxing Lin, Andreas Herkersdorf Deep packet inspection in residential gateways and routers: Issues and challenges. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yu Pan, Santhosh Kumar Pilakkat, Kay-Chuan Benny Tan, Wai-Meng Mok A user's reflections on the art of high level synthesis. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhelu Li, Yahui Leng, Xufeng Wu, Jianxiong Xi, Lenian He A primary side feedback control for flyback LED driver with no output voltage feedback resistors. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuan Cao 0003, Siarhei S. Zalivaka, Le Zhang 0001, Chip-Hong Chang, Shoushun Chen CMOS image sensor based physical unclonable function for smart phone security applications. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Liheng Lou, Supeng Liu, Bo Chen 0014, Kai Tang 0002, Yong Wang, Yuanjin Zheng A broadband CMOS LC voltage-controlled oscillator for FMCW synthesizer. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1David Lin, Subhasish Mitra QED post-silicon validation and debug: Invited abstract. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yong Wang, Bo Chen 0014, Supeng Liu, Liheng Lou, Kai Tang 0002, Ying Zhang, Yuanjin Zheng Analysis and modelling on CMOS spiral inductor with impact of metal dummy fills. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hai Li 0001, Xiaoxiao Liu 0001, Mengjie Mao, Yiran Chen 0001, Qing Wu 0002, Mark Barnell Neuromorphic hardware acceleration enabled by emerging technologies (Invited paper). Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hendika Fatkhi Nurhuda, Yongkui Yang, Wang Ling Goh A three-topology based, wide input range switched-capacitor DC-DC converter with low-ripple and enhanced load line regulations. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lin Biao Wang, Kye Yak See Power distribution network design for high-speed automotive graphical processing module. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Benjamin Rebuffat, Pascal Masson, Jean-Luc Ogier, Marc Mantelli, Romain Laffont Effect of AC stress on oxide TDDB and trapped charge in interface states. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bo Chen 0014, Liheng Lou, Supeng Liu, Kai Tang 0002, Yong Wang, Jianjun Gao 0004, Yuanjin Zheng A semi-analytical extraction method for transformer model. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1T. Du, Aiwu Ruan, P. Li, Bairui Jie A bitstream readback based FPGA test and diagnosis system. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yingnan Cui, Wei Zhang 0012, Vivek Chaturvedi, Weichen Liu, Bingsheng He Thermal-aware task scheduling for 3D-network-on-chip: A Bottom-to-Top scheme. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mirela Alistar, Paul Pop Online synthesis for operation execution time variability on digital microfluidic biochips. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kenichi Ohhata, Hiroki Nakahara, Takuya Inoue, Toru Yazaki, Norio Chujo, Takuma Nishimoto Automatic adjustment system for optical interconnection transmitter using improved particle swarm optimization. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fei Lyu 0002, Zhenduo Zhu, Zhenfei Lu, Li Li 0003, Jin Sha, Hongbing Pan, Yutong Bi A more accurate circuit model for CMOS Hall cross with non-linear resistors and JFETs. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kostas Kokkinakis, Yi Hu, Dongying Liang An efficient spectral subtraction-based strategy for suppressing reverberation in cochlear implant devices. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chen-Bo Hsu, James B. Kuo MTCMOS low-power design technique (LPDT) for low-voltage pipelined microprocessor circuits. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peter Waszecki, Florian Sagstetter, Martin Lukasiewycz, Samarjit Chakraborty Diagnosis-aware system design for automotive E/E architectures. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shinobu Fujita, Hiroki Noguchi, Kazutaka Ikegami, Susumu Takeda, Kumiko Nomura, Keiko Abe Novel STT-MRAM-based last level caches for high performance processors using normally-off architectures. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Junwen Luo, Graeme Coapes, Patrick Degenaar, Tadashi Yamazaki, Terrence S. T. Mak, Chung Tin A real-time silicon cerebellum spiking neural model based on FPGA. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Taha Mehrabi, Kaamran Raahemifar, Vadim Geurkov Design of a 4-bit programmable delay with TDC-based BIST for use in serial data links. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jae-Yoon Bae, Hyeon-Cheon Seol, Young-Cheon Kwon, Seong-Kwan Hong, Oh-Kyong Kwon, Seong-Hwan Hwang, Seung-Tae Kim A small area 10-bit linear gamma DAC with voltage adder for large-sized active matrix flat panel displays. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ricardo Isidro Ramirez, Erika Hernandez Rubio, Amilcar Meneses Viveros, Irene Monserrat Torres Hernandez Differences of energetic consumption between Java and JNI Android apps. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tomoya Hashikawa, Yasumasa Fujisaki Convergence conditions of iterative learning control revisited: A unified viewpoint to continuous-time and discrete-time cases. Search on Bibsonomy ISIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vu Tuan Hieu Le, Cristina Stoica 0001, Teodoro Alamo, Eduardo F. Camacho 0001, Didier Dumur Zonotope-based set-membership estimation for Multi-Output uncertain systems. Search on Bibsonomy ISIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jito Vanualailai, Ashna Sharan, Bibhya Sharma A swarm model for planar formations of multiple autonomous unmanned aerial vehicles. Search on Bibsonomy ISIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alexander Kale, Meetha Lal Meena, M. Gopal Kernel machines for uncalibrated visual servoing of robots. Search on Bibsonomy ISIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1S. Krishna Chaitanya, K. Dhanalakshmi Demonstration of self-sensing in Shape Memory Alloy actuated gripper. Search on Bibsonomy ISIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Francisco Panuncio Cruz, Wen Yu 0001, Xiaoou Li Stable neural PID anti-swing control for an overhead crane. Search on Bibsonomy ISIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shivendra N. Tiwari, Radhakant Padhi Dynamically re-optimized SNAC controller for robust wing rock suppression. Search on Bibsonomy ISIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Samrat Dutta, Laxmidhar Behera Policy iteration based near-optimal control scheme for robotic manipulator with model uncertainties. Search on Bibsonomy ISIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1240 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license