The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISLPED"( http://dblp.L3S.de/Venues/ISLPED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/islped

Publication years (Num. hits)
1996 (74) 1997 (66) 1998 (65) 1999 (57) 2000 (61) 2001 (76) 2002 (67) 2003 (96) 2004 (75) 2005 (76) 2006 (83) 2007 (79) 2008 (80) 2009 (90) 2010 (80) 2011 (78) 2012 (76) 2013 (80) 2014 (75) 2015 (68) 2016 (69) 2017 (64) 2018 (55) 2019 (58) 2020 (43) 2021 (39) 2022 (33) 2023 (55)
Publication types (Num. hits)
inproceedings(1890) proceedings(28)
Venues (Conferences, Journals, ...)
ISLPED(1918)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1797 occurrences of 735 keywords

Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yukai Chen, Enrico Macii, Massimo Poncino Workload-driven frequency-aware battery sizing. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1David Garrett, Chia-Lin Yang Message from the general co-chairs. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Arnab Roy 0003, Swagath Venkataramani, Neel Gala, Sanchari Sen, Kamakoti Veezhinathan, Anand Raghunathan A Programmable Event-driven Architecture for Evaluating Spiking Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wen-Mei W. Hwu Keynote: Architecture and software for emerging low-power systems. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kyungwook Chang, Deepak Kadetotad, Yu Cao 0001, Jae-sun Seo, Sung Kyu Lim Monolithic 3D IC designs for low-power deep neural networks targeting speech recognition. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Joonho Kong, Young-Ho Gong, Sung Woo Chung Architecting large-scale SRAM arrays with monolithic 3D integration. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bon Woong Ku, Taigon Song, Arthur Nieuwoudt, Sung Kyu Lim Transistor-level monolithic 3D standard cell layout optimization for full-chip static power integrity. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jennifer Zaini, Frédéric Hameau, Thierry Taris, Dominique Morche, Patrick Audebert, Eric Mercier A tunable Ultra Low Power inductorless Low Noise Amplifier exploiting body biasing of 28 nm FDSOI technology. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kyungwook Chang, Shidhartha Das, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim Frequency and time domain analysis of power delivery network for monolithic 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Samal, Sourabh Khandelwal, Asif Islam Khan, Sayeef S. Salahuddin, Chenming Hu, Sung Kyu Lim Full chip power benefits with negative capacitance FETs. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sangyoung Park, Licong Zhang, Samarjit Chakraborty Battery assignment and scheduling for drone delivery businesses. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jihwan Park, Gi-Moon Hong, Mino Kim, Joo-Hyung Chae, Suhwan Kim A 0.13pJ/bit, referenceless transceiver with clock edge modulation for a wired intra-BAN communication. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Myung-Hoon Choi, Seungkyu Choi, Jaehyeong Sim, Lee-Sup Kim SENIN: An energy-efficient sparse neuromorphic system with on-chip learning. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2017, Taipei, Taiwan, July 24-26, 2017 Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  BibTeX  RDF
1Rathijit Sen, Alan Halverson Frequency governors for cloud database OLTP workloads. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Augusto Vega, Alper Buyuktosunoglu, Pradip Bose Invited paper: Secure swarm intelligence: A new approach to many-core power management. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ashish Ranjan 0001, Arnab Raha, Vijay Raghunathan, Anand Raghunathan Approximate memory compression for energy-efficiency. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chengke Wang, Yao Guo 0001, Peng Shen, Xiangqun Chen E-Spector: Online energy inspection for Android applications. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Han-Yi Lin, Pi-Cheng Hsiu, Tei-Wei Kuo ShiftMask: Dynamic OLED power shifting based on visual acuity for interactive mobile applications. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pradip Bose, Alper Buyuktosunoglu Invited paper: Resilient and energy-secure power management. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kyungrak Choi, Woong Choi, Kyungho Shin, Jongsun Park 0001 Bit-width reduction and customized register for low cost convolutional neural network accelerator. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Minghua Shen, Guojie Luo Tiguan: Energy-aware collision-free control for large-scale connected vehicles. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Younghyun Kim 0001, Setareh Behroozi, Vijay Raghunathan, Anand Raghunathan AXSERBUS: A quality-configurable approximate serial bus for energy-efficient sensing. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhe Yuan, Yongpan Liu, Jinshan Yue, Jinyang Li 0002, Huazhong Yang CORAL: Coarse-grained reconfigurable architecture for Convolutional Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vivek Nautiyal, Gaurav Singla, Satinderjit Singh, Fakhruddin Ali Bohra, Jitendra Dasani, Lalit Gupta, Sagar Dwivedi Charge recycled low power SRAM with integrated write and read assist, for wearable electronics, designed in 7nm FinFET. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sanu Mathew, Sudhir Satpathy, Vikram B. Suresh, Ram Krishnamurthy 0001 Invited paper: Ultra-low energy security circuit primitives for IoT platforms. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sung Justin Kim, Doyun Kim, Mingoo Seok Comparative study and optimization of synchronous and asynchronous comparators at near-threshold voltages. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hongxiang Gu, Teng Xu 0001, Miodrag Potkonjak A low-power APUF-based environmental abnormality detection framework. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Aporva Amarnath, Siying Feng, Subhankar Pal, Tutu Ajayi, Austin Rovinski, Ronald G. Dreslinski A carbon nanotube transistor based RISC-V processor using pass transistor logic. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nicky Liu Keynote: A new Silicon Age 4.0: Generating semiconductor-intelligence paradigm with a Virtual Moore's Law Economics and Heterogeneous technologies. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lei Jiang 0001, Minje Kim, Wujie Wen, Danghui Wang XNOR-POP: A processing-in-memory architecture for binary Convolutional Neural Networks in Wide-IO2 DRAMs. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shovan Maity, Debayan Das, Xinyi Jiang, Shreyas Sen Secure Human-Internet using dynamic Human Body Communication. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yong Wang 0026, Hui Wu 0007 Design high bandwidth-density, low latency and energy efficient on-chip interconnect. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gabriel A. Rincón-Mora Tutorial: Tiny light-harvesting photovoltaic charger-supplies. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siva Nishok Dhanuskodi, Daniel E. Holcomb An improved clocking methodology for energy efficient low area AES architectures using register renaming. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wenbin Xu, Sachin S. Sapatnekar, Jiang Hu A simple yet efficient accuracy configurable adder design. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sungju Ryu, Jongeun Koo, Jae-Joon Kim Low design overhead timing error correction scheme for elastic clock methodology. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chao Zhang 0039, Xiaochen Guo Enabling efficient fine-grained DRAM activations with interleaved I/O. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Subhendu Roy, Yuzhe Ma, Jin Miao, Bei Yu 0001 A learning bridge from architectural synthesis to physical design for exploring power efficient high-performance adders. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tsai-Kan Chien, Lih-Yih Chiou, Yi-Sung Tsou, Shyh-Shyuan Sheu, Pei-Hua Wang, Ming-Jinn Tsai, Chih-I Wu Write-energy-saving ReRAM-based nonvolatile SRAM with redundant bit-write-aware controller for last-level caches. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1James R. B. Bantock, Vasileios Tenentes, Bashir M. Al-Hashimi, Geoff V. Merrett Online tuning of Dynamic Power Management for efficient execution of interactive workloads. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yu Liu 0028, Yingyezhe Jin, Peng Li 0001 Exploring sparsity of firing activities and clock gating for energy-efficient recurrent spiking neural processors. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei Ye 0008, Yibo Lin, Xiaoqing Xu, Wuxi Li, Yiwei Fu, Yongsheng Sun, Canhui Zhan, David Z. Pan Placement mitigation techniques for power grid electromigration. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pavan Kumar Chundi, Yini Zhou, Martha A. Kim, Eren Kursun, Mingoo Seok Hotspot monitoring and Temperature Estimation with miniature on-chip temperature sensors. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Monodeep Kar, Arvind Singh, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay Invited paper: Low power requirements and side-channel protection of encryption engines: Challenges and opportunities. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Youngmoon Lee, Eugene Kim, Kang G. Shin Efficient thermoelectric cooling for mobile devices. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Syed Shakib Sarwar, Priyadarshini Panda, Kaushik Roy 0001 Gabor filter assisted energy efficient fast learning Convolutional Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jurn-Gyu Park, Nikil D. Dutt, Hoyeonjiki Kim, Sung-Soo Lim HiCAP: Hierarchical FSM-based Dynamic Integrated CPU-GPU Frequency Capping Governor for Energy-Efficient Mobile Gaming. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Derek Chiou Heterogeneous Computing and Infrastructure for Energy Efficiency in Microsoft Data Centers: Extended Abstract. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jong Hwan Ko, Saibal Mukhopadhyay An Energy-Aware Approach to Noise-Robust Moving Object Detection for Low-Power Wireless Image Sensor Platforms. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Danni Wang, Sumitha George, Ahmedullah Aziz, Suman Datta, Vijaykrishnan Narayanan, Sumeet Kumar Gupta Ferroelectric Transistor based Non-Volatile Flip-Flop. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yi Wang 0003, Mingxu Zhang, Lisha Dong, Xuan Yang A Thermal-Aware Physical Space Allocation Strategy for 3D Flash Memory Storage Systems. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jinil Chung, Jongsun Park 0001, Swaroop Ghosh Domain Wall Memory based Convolutional Neural Networks for Bit-width Extendability and Energy-Efficiency. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jason Cong, Muhuan Huang, Peichen Pan, Di Wu 0010, Peng Zhang 0007 Software Infrastructure for Enabling FPGA-Based Accelerations in Data Centers: Invited Paper. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ravinder Rachala, Miguel Rodriguez, Stephen Kosonocky, Milos Trajkovic Modeling and implementation of a fully-digital integrated per-core voltage regulation system in a 28nm high performance 64-bit processor. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Homa Aghilinasab, Mohammad Sadrosadati, Mohammad Hossein Samavatian, Hamid Sarbazi-Azad Reducing Power Consumption of GPGPUs Through Instruction Reordering. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Abbas Rahimi, Pentti Kanerva, Jan M. Rabaey A Robust and Energy-Efficient Classifier Using Brain-Inspired Hyperdimensional Computing. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jinsoo Park 0003, Hojung Cha T-DVS: Temperature-aware DVS based on Temperature Inversion Phenomenon. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chen Zhou, Saroj Satapathy, Yingjie Lao, Keshab K. Parhi, Chris H. Kim Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Taesik Na, Saibal Mukhopadhyay Speeding up Convolutional Neural Network Training with Dynamic Precision Scaling and Flexible Multiplier-Accumulator. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhengyu Chen 0002, Jie Gu 0001 Analysis and Design of Energy Efficient Time Domain Signal Processing. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Goutam Chattopadhyay Terahertz Technology and its Applications: Is it All Hype? Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jae-Won Jang, Swaroop Ghosh Performance Impact of Magnetic and Thermal Attack on STTRAM and Low-Overhead Mitigation Techniques. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amaravati Anvesha, Shaojie Xu, Ningyuan Cao, Justin Romberg, Arijit Raychowdhury A Light-powered, "Always-On", Smart Camera with Compressed Domain Gesture Detection. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chen Zhang 0001, Di Wu 0010, Jiayu Sun, Guangyu Sun 0003, Guojie Luo, Jason Cong Energy-Efficient CNN Implementation on a Deeply Pipelined FPGA Cluster. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yukai Chen, Alberto Bocca, Alberto Macii, Enrico Macii, Massimo Poncino A Li-Ion Battery Charge Protocol with Optimal Aging-Quality of Service Trade-off. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vida Ilderem Innovation for Future Connected Compute. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rob Aitken Coordinating Communication, Technology and Design in the IOT Era. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tiantao Lu, Caleb Serafy, Zhiyuan Yang 0001, Ankur Srivastava 0001 Voltage Noise Induced DRAM Soft Error Reduction Technique for 3D-CPUs. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shreyas Sen SocialHBC: Social Networking and Secure Authentication using Interference-Robust Human Body Communication. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Matthew Tomei, Henry Duwe, Nam Sung Kim, Rakesh Kumar 0002 Bit Serializing a Microprocessor for Ultra-low-power. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yusuke Shuto, Shuu'ichirou Yamamoto, Satoshi Sugahara Design and implementation of nonvolatile power-gating SRAM using SOTB technology. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chang-Hung Yu, Pin Su, Ching-Te Chuang Benchmarking of Monolayer and Bilayer Two-Dimensional Transition Metal Dichalcogenide (TMD) Based Logic Circuits and 6T SRAM Cells. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Farshad Ghanei, Pranav Tipnis, Kyle Marcus, Karthik Dantu, Steven Y. Ko, Lukasz Ziarek OS-based Resource Accounting for Asynchronous Resource Use in Mobile Systems. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jaeha Kung, Duckhwan Kim 0001, Saibal Mukhopadhyay Dynamic Approximation with Feedback Control for Energy-Efficient Recurrent Neural Network Hardware. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bita Darvish Rouhani, Azalia Mirhoseini, Farinaz Koushanfar DeLight: Adding Energy Dimension To Deep Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ali Aalsaud, Rishad A. Shafik, Ashur Rafiev, Fei Xia, Sheng Yang, Alex Yakovlev Power-Aware Performance Adaptation of Concurrent Applications in Heterogeneous Many-Core Systems. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fabian Oboril, Fazal Hameed, Rajendra Bishnoi, Ali Ahari, Helia Naeimi, Mehdi Baradaran Tahoori Normally-OFF STT-MRAM Cache with Zero-Byte Compression for Energy Efficient Last-Level Caches. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Matthew M. Ziegler, Hung-Yi Liu, Luca P. Carloni Scalable Auto-Tuning of Synthesis Parameters for Optimizing High-Performance Processors. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anteneh Gebregiorgis, Mohammad Saber Golanbari, Saman Kiamehr, Fabian Oboril, Mehdi Baradaran Tahoori Maximizing Energy Efficiency in NTC by Variation-Aware Microprocessor Pipeline Optimization. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Aosen Wang, Zhanpeng Jin, Wenyao Xu A Programmable Analog-to-Information Converter for Agile Biosensing. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Woo Suk Lee, Younghyun Kim 0001, Vijay Raghunathan TeleProbe: Zero-power Contactless Probing for Implantable Medical Devices. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bon Woong Ku, Peter Debacker, Dragomir Milojevic, Praveen Raghavan, Diederik Verkest, Aaron Thean, Sung Kyu Lim Physical Design Solutions to Tackle FEOL/BEOL Degradation in Gate-level Monolithic 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amirali Ghofrani, Miguel Angel Lastras-Montaño, Yuyang Wang 0003, Kwang-Ting Cheng In-place Repair for Resistive Memories Utilizing Complementary Resistive Switches. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jian Ouyang, Wei Qi, Yong Wang Extending the Moore's law by exploring new data center architecture: Invited Paper. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dongliang Chen, Jonathon Edstrom, Xiaowei Chen, Wei Jin 0006, Jinhui Wang, Na Gong Data-Driven Low-Cost On-Chip Memory with Adaptive Power-Quality Trade-off for Mobile Video Streaming. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ivan Ratkovic, Oscar Palomar, Milan Stanic, Osman S. Unsal, Adrián Cristal, Mateo Valero A Fully Parameterizable Low Power Design of Vector Fused Multiply-Add Using Active Clock-Gating Techniques. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Weizhe Hua, Ramy N. Tadros, Peter A. Beerel Low Area, Low Power, Robust, Highly Sensitive Error Detecting Latch for Resilient Architectures. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wen Zhou, Dan Feng 0001, Yu Hua 0001, Jingning Liu, Fangting Huang, Yu Chen An Efficient Parallel Scheduling Scheme on Multi-partition PCM Architecture. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zafar Takhirov, Joseph Wang 0001, Venkatesh Saligrama, Ajay Joshi Energy-Efficient Adaptive Classifier Design for Mobile Systems. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Huanyu Wang, Geng Xie, Jie Gu 0001 Comprehensive Analysis, Modeling and Design for Hold-Timing Resiliency in Voltage Scalable Design. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jaehoon Jun, Cyuyeol Rhee, Suhwan Kim A 386-μW, 15.2-bit Programmable-Gain Embedded Delta-Sigma ADC for Sensor Applications. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Neel Gala, Swagath Venkataramani, Anand Raghunathan, V. Kamakoti 0001 STOCK: Stochastic Checkers for Low-overhead Approximate Error Detection. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sriram Sundaram, Warren He, Sriram Sambamurthy, Aaron Grenat, Steven Liepe, Samuel Naffziger Unified Power Frequency Model Framework. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Byoungchan Oh, Nilmini Abeyratne, Jeongseob Ahn, Ronald G. Dreslinski, Trevor N. Mudge Enhancing DRAM Self-Refresh for Idle Power Reduction. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kwang Min Kim, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim Four-tier Monolithic 3D ICs: Tier Partitioning Methodology and Power Benefit Study. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ramyar Saeedi, Ramin Fallahzadeh, Parastoo Alinia, Hassan Ghasemzadeh 0001 An Energy-Efficient Computational Model for Uncertainty Management in Dynamically Changing Networked Wearables. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dimitrios Stamoulis, Diana Marculescu Can We Guarantee Performance Requirements under Workload and Process Variations? Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sushma Honnavara Prasad Overview of IEEE1801-2015: Standard for Design and Verification of Low-Power, Energy-Aware Electronic Systems: Invited Paper. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhezhi He, Deliang Fan A Low Power Current-Mode Flash ADC with Spin Hall Effect based Multi-Threshold Comparator. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1918 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license