|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1797 occurrences of 735 keywords
|
|
|
Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Yukai Chen, Enrico Macii, Massimo Poncino |
Workload-driven frequency-aware battery sizing. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | David Garrett, Chia-Lin Yang |
Message from the general co-chairs. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Arnab Roy 0003, Swagath Venkataramani, Neel Gala, Sanchari Sen, Kamakoti Veezhinathan, Anand Raghunathan |
A Programmable Event-driven Architecture for Evaluating Spiking Neural Networks. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Wen-Mei W. Hwu |
Keynote: Architecture and software for emerging low-power systems. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Kyungwook Chang, Deepak Kadetotad, Yu Cao 0001, Jae-sun Seo, Sung Kyu Lim |
Monolithic 3D IC designs for low-power deep neural networks targeting speech recognition. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Joonho Kong, Young-Ho Gong, Sung Woo Chung |
Architecting large-scale SRAM arrays with monolithic 3D integration. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Bon Woong Ku, Taigon Song, Arthur Nieuwoudt, Sung Kyu Lim |
Transistor-level monolithic 3D standard cell layout optimization for full-chip static power integrity. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Jennifer Zaini, Frédéric Hameau, Thierry Taris, Dominique Morche, Patrick Audebert, Eric Mercier |
A tunable Ultra Low Power inductorless Low Noise Amplifier exploiting body biasing of 28 nm FDSOI technology. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Kyungwook Chang, Shidhartha Das, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim |
Frequency and time domain analysis of power delivery network for monolithic 3D ICs. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Sandeep Kumar Samal, Sourabh Khandelwal, Asif Islam Khan, Sayeef S. Salahuddin, Chenming Hu, Sung Kyu Lim |
Full chip power benefits with negative capacitance FETs. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Sangyoung Park, Licong Zhang, Samarjit Chakraborty |
Battery assignment and scheduling for drone delivery businesses. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Jihwan Park, Gi-Moon Hong, Mino Kim, Joo-Hyung Chae, Suhwan Kim |
A 0.13pJ/bit, referenceless transceiver with clock edge modulation for a wired intra-BAN communication. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Myung-Hoon Choi, Seungkyu Choi, Jaehyeong Sim, Lee-Sup Kim |
SENIN: An energy-efficient sparse neuromorphic system with on-chip learning. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | |
2017 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2017, Taipei, Taiwan, July 24-26, 2017 |
ISLPED |
2017 |
DBLP BibTeX RDF |
|
1 | Rathijit Sen, Alan Halverson |
Frequency governors for cloud database OLTP workloads. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Augusto Vega, Alper Buyuktosunoglu, Pradip Bose |
Invited paper: Secure swarm intelligence: A new approach to many-core power management. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Ashish Ranjan 0001, Arnab Raha, Vijay Raghunathan, Anand Raghunathan |
Approximate memory compression for energy-efficiency. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Chengke Wang, Yao Guo 0001, Peng Shen, Xiangqun Chen |
E-Spector: Online energy inspection for Android applications. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Han-Yi Lin, Pi-Cheng Hsiu, Tei-Wei Kuo |
ShiftMask: Dynamic OLED power shifting based on visual acuity for interactive mobile applications. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Pradip Bose, Alper Buyuktosunoglu |
Invited paper: Resilient and energy-secure power management. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Kyungrak Choi, Woong Choi, Kyungho Shin, Jongsun Park 0001 |
Bit-width reduction and customized register for low cost convolutional neural network accelerator. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Minghua Shen, Guojie Luo |
Tiguan: Energy-aware collision-free control for large-scale connected vehicles. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Younghyun Kim 0001, Setareh Behroozi, Vijay Raghunathan, Anand Raghunathan |
AXSERBUS: A quality-configurable approximate serial bus for energy-efficient sensing. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Zhe Yuan, Yongpan Liu, Jinshan Yue, Jinyang Li 0002, Huazhong Yang |
CORAL: Coarse-grained reconfigurable architecture for Convolutional Neural Networks. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Vivek Nautiyal, Gaurav Singla, Satinderjit Singh, Fakhruddin Ali Bohra, Jitendra Dasani, Lalit Gupta, Sagar Dwivedi |
Charge recycled low power SRAM with integrated write and read assist, for wearable electronics, designed in 7nm FinFET. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Sanu Mathew, Sudhir Satpathy, Vikram B. Suresh, Ram Krishnamurthy 0001 |
Invited paper: Ultra-low energy security circuit primitives for IoT platforms. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Sung Justin Kim, Doyun Kim, Mingoo Seok |
Comparative study and optimization of synchronous and asynchronous comparators at near-threshold voltages. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Hongxiang Gu, Teng Xu 0001, Miodrag Potkonjak |
A low-power APUF-based environmental abnormality detection framework. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Aporva Amarnath, Siying Feng, Subhankar Pal, Tutu Ajayi, Austin Rovinski, Ronald G. Dreslinski |
A carbon nanotube transistor based RISC-V processor using pass transistor logic. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Nicky Liu |
Keynote: A new Silicon Age 4.0: Generating semiconductor-intelligence paradigm with a Virtual Moore's Law Economics and Heterogeneous technologies. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Lei Jiang 0001, Minje Kim, Wujie Wen, Danghui Wang |
XNOR-POP: A processing-in-memory architecture for binary Convolutional Neural Networks in Wide-IO2 DRAMs. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Shovan Maity, Debayan Das, Xinyi Jiang, Shreyas Sen |
Secure Human-Internet using dynamic Human Body Communication. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Yong Wang 0026, Hui Wu 0007 |
Design high bandwidth-density, low latency and energy efficient on-chip interconnect. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Gabriel A. Rincón-Mora |
Tutorial: Tiny light-harvesting photovoltaic charger-supplies. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Siva Nishok Dhanuskodi, Daniel E. Holcomb |
An improved clocking methodology for energy efficient low area AES architectures using register renaming. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Wenbin Xu, Sachin S. Sapatnekar, Jiang Hu |
A simple yet efficient accuracy configurable adder design. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Sungju Ryu, Jongeun Koo, Jae-Joon Kim |
Low design overhead timing error correction scheme for elastic clock methodology. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Chao Zhang 0039, Xiaochen Guo |
Enabling efficient fine-grained DRAM activations with interleaved I/O. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Subhendu Roy, Yuzhe Ma, Jin Miao, Bei Yu 0001 |
A learning bridge from architectural synthesis to physical design for exploring power efficient high-performance adders. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Tsai-Kan Chien, Lih-Yih Chiou, Yi-Sung Tsou, Shyh-Shyuan Sheu, Pei-Hua Wang, Ming-Jinn Tsai, Chih-I Wu |
Write-energy-saving ReRAM-based nonvolatile SRAM with redundant bit-write-aware controller for last-level caches. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | James R. B. Bantock, Vasileios Tenentes, Bashir M. Al-Hashimi, Geoff V. Merrett |
Online tuning of Dynamic Power Management for efficient execution of interactive workloads. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Yu Liu 0028, Yingyezhe Jin, Peng Li 0001 |
Exploring sparsity of firing activities and clock gating for energy-efficient recurrent spiking neural processors. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Wei Ye 0008, Yibo Lin, Xiaoqing Xu, Wuxi Li, Yiwei Fu, Yongsheng Sun, Canhui Zhan, David Z. Pan |
Placement mitigation techniques for power grid electromigration. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Pavan Kumar Chundi, Yini Zhou, Martha A. Kim, Eren Kursun, Mingoo Seok |
Hotspot monitoring and Temperature Estimation with miniature on-chip temperature sensors. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Monodeep Kar, Arvind Singh, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay |
Invited paper: Low power requirements and side-channel protection of encryption engines: Challenges and opportunities. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Youngmoon Lee, Eugene Kim, Kang G. Shin |
Efficient thermoelectric cooling for mobile devices. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Syed Shakib Sarwar, Priyadarshini Panda, Kaushik Roy 0001 |
Gabor filter assisted energy efficient fast learning Convolutional Neural Networks. |
ISLPED |
2017 |
DBLP DOI BibTeX RDF |
|
1 | Jurn-Gyu Park, Nikil D. Dutt, Hoyeonjiki Kim, Sung-Soo Lim |
HiCAP: Hierarchical FSM-based Dynamic Integrated CPU-GPU Frequency Capping Governor for Energy-Efficient Mobile Gaming. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Derek Chiou |
Heterogeneous Computing and Infrastructure for Energy Efficiency in Microsoft Data Centers: Extended Abstract. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Jong Hwan Ko, Saibal Mukhopadhyay |
An Energy-Aware Approach to Noise-Robust Moving Object Detection for Low-Power Wireless Image Sensor Platforms. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Danni Wang, Sumitha George, Ahmedullah Aziz, Suman Datta, Vijaykrishnan Narayanan, Sumeet Kumar Gupta |
Ferroelectric Transistor based Non-Volatile Flip-Flop. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yi Wang 0003, Mingxu Zhang, Lisha Dong, Xuan Yang |
A Thermal-Aware Physical Space Allocation Strategy for 3D Flash Memory Storage Systems. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Jinil Chung, Jongsun Park 0001, Swaroop Ghosh |
Domain Wall Memory based Convolutional Neural Networks for Bit-width Extendability and Energy-Efficiency. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Jason Cong, Muhuan Huang, Peichen Pan, Di Wu 0010, Peng Zhang 0007 |
Software Infrastructure for Enabling FPGA-Based Accelerations in Data Centers: Invited Paper. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ravinder Rachala, Miguel Rodriguez, Stephen Kosonocky, Milos Trajkovic |
Modeling and implementation of a fully-digital integrated per-core voltage regulation system in a 28nm high performance 64-bit processor. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Homa Aghilinasab, Mohammad Sadrosadati, Mohammad Hossein Samavatian, Hamid Sarbazi-Azad |
Reducing Power Consumption of GPGPUs Through Instruction Reordering. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Abbas Rahimi, Pentti Kanerva, Jan M. Rabaey |
A Robust and Energy-Efficient Classifier Using Brain-Inspired Hyperdimensional Computing. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Jinsoo Park 0003, Hojung Cha |
T-DVS: Temperature-aware DVS based on Temperature Inversion Phenomenon. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Chen Zhou, Saroj Satapathy, Yingjie Lao, Keshab K. Parhi, Chris H. Kim |
Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Taesik Na, Saibal Mukhopadhyay |
Speeding up Convolutional Neural Network Training with Dynamic Precision Scaling and Flexible Multiplier-Accumulator. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Zhengyu Chen 0002, Jie Gu 0001 |
Analysis and Design of Energy Efficient Time Domain Signal Processing. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Goutam Chattopadhyay |
Terahertz Technology and its Applications: Is it All Hype? |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Jae-Won Jang, Swaroop Ghosh |
Performance Impact of Magnetic and Thermal Attack on STTRAM and Low-Overhead Mitigation Techniques. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Amaravati Anvesha, Shaojie Xu, Ningyuan Cao, Justin Romberg, Arijit Raychowdhury |
A Light-powered, "Always-On", Smart Camera with Compressed Domain Gesture Detection. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Chen Zhang 0001, Di Wu 0010, Jiayu Sun, Guangyu Sun 0003, Guojie Luo, Jason Cong |
Energy-Efficient CNN Implementation on a Deeply Pipelined FPGA Cluster. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yukai Chen, Alberto Bocca, Alberto Macii, Enrico Macii, Massimo Poncino |
A Li-Ion Battery Charge Protocol with Optimal Aging-Quality of Service Trade-off. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Vida Ilderem |
Innovation for Future Connected Compute. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Rob Aitken |
Coordinating Communication, Technology and Design in the IOT Era. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Tiantao Lu, Caleb Serafy, Zhiyuan Yang 0001, Ankur Srivastava 0001 |
Voltage Noise Induced DRAM Soft Error Reduction Technique for 3D-CPUs. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Shreyas Sen |
SocialHBC: Social Networking and Secure Authentication using Interference-Robust Human Body Communication. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Matthew Tomei, Henry Duwe, Nam Sung Kim, Rakesh Kumar 0002 |
Bit Serializing a Microprocessor for Ultra-low-power. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Yusuke Shuto, Shuu'ichirou Yamamoto, Satoshi Sugahara |
Design and implementation of nonvolatile power-gating SRAM using SOTB technology. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Chang-Hung Yu, Pin Su, Ching-Te Chuang |
Benchmarking of Monolayer and Bilayer Two-Dimensional Transition Metal Dichalcogenide (TMD) Based Logic Circuits and 6T SRAM Cells. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Farshad Ghanei, Pranav Tipnis, Kyle Marcus, Karthik Dantu, Steven Y. Ko, Lukasz Ziarek |
OS-based Resource Accounting for Asynchronous Resource Use in Mobile Systems. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Jaeha Kung, Duckhwan Kim 0001, Saibal Mukhopadhyay |
Dynamic Approximation with Feedback Control for Energy-Efficient Recurrent Neural Network Hardware. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Bita Darvish Rouhani, Azalia Mirhoseini, Farinaz Koushanfar |
DeLight: Adding Energy Dimension To Deep Neural Networks. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ali Aalsaud, Rishad A. Shafik, Ashur Rafiev, Fei Xia, Sheng Yang, Alex Yakovlev |
Power-Aware Performance Adaptation of Concurrent Applications in Heterogeneous Many-Core Systems. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Fabian Oboril, Fazal Hameed, Rajendra Bishnoi, Ali Ahari, Helia Naeimi, Mehdi Baradaran Tahoori |
Normally-OFF STT-MRAM Cache with Zero-Byte Compression for Energy Efficient Last-Level Caches. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Matthew M. Ziegler, Hung-Yi Liu, Luca P. Carloni |
Scalable Auto-Tuning of Synthesis Parameters for Optimizing High-Performance Processors. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Anteneh Gebregiorgis, Mohammad Saber Golanbari, Saman Kiamehr, Fabian Oboril, Mehdi Baradaran Tahoori |
Maximizing Energy Efficiency in NTC by Variation-Aware Microprocessor Pipeline Optimization. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Aosen Wang, Zhanpeng Jin, Wenyao Xu |
A Programmable Analog-to-Information Converter for Agile Biosensing. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Woo Suk Lee, Younghyun Kim 0001, Vijay Raghunathan |
TeleProbe: Zero-power Contactless Probing for Implantable Medical Devices. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Bon Woong Ku, Peter Debacker, Dragomir Milojevic, Praveen Raghavan, Diederik Verkest, Aaron Thean, Sung Kyu Lim |
Physical Design Solutions to Tackle FEOL/BEOL Degradation in Gate-level Monolithic 3D ICs. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Amirali Ghofrani, Miguel Angel Lastras-Montaño, Yuyang Wang 0003, Kwang-Ting Cheng |
In-place Repair for Resistive Memories Utilizing Complementary Resistive Switches. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Jian Ouyang, Wei Qi, Yong Wang |
Extending the Moore's law by exploring new data center architecture: Invited Paper. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Dongliang Chen, Jonathon Edstrom, Xiaowei Chen, Wei Jin 0006, Jinhui Wang, Na Gong |
Data-Driven Low-Cost On-Chip Memory with Adaptive Power-Quality Trade-off for Mobile Video Streaming. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ivan Ratkovic, Oscar Palomar, Milan Stanic, Osman S. Unsal, Adrián Cristal, Mateo Valero |
A Fully Parameterizable Low Power Design of Vector Fused Multiply-Add Using Active Clock-Gating Techniques. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Weizhe Hua, Ramy N. Tadros, Peter A. Beerel |
Low Area, Low Power, Robust, Highly Sensitive Error Detecting Latch for Resilient Architectures. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Wen Zhou, Dan Feng 0001, Yu Hua 0001, Jingning Liu, Fangting Huang, Yu Chen |
An Efficient Parallel Scheduling Scheme on Multi-partition PCM Architecture. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Zafar Takhirov, Joseph Wang 0001, Venkatesh Saligrama, Ajay Joshi |
Energy-Efficient Adaptive Classifier Design for Mobile Systems. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Huanyu Wang, Geng Xie, Jie Gu 0001 |
Comprehensive Analysis, Modeling and Design for Hold-Timing Resiliency in Voltage Scalable Design. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Jaehoon Jun, Cyuyeol Rhee, Suhwan Kim |
A 386-μW, 15.2-bit Programmable-Gain Embedded Delta-Sigma ADC for Sensor Applications. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Neel Gala, Swagath Venkataramani, Anand Raghunathan, V. Kamakoti 0001 |
STOCK: Stochastic Checkers for Low-overhead Approximate Error Detection. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Sriram Sundaram, Warren He, Sriram Sambamurthy, Aaron Grenat, Steven Liepe, Samuel Naffziger |
Unified Power Frequency Model Framework. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Byoungchan Oh, Nilmini Abeyratne, Jeongseob Ahn, Ronald G. Dreslinski, Trevor N. Mudge |
Enhancing DRAM Self-Refresh for Idle Power Reduction. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Kwang Min Kim, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim |
Four-tier Monolithic 3D ICs: Tier Partitioning Methodology and Power Benefit Study. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ramyar Saeedi, Ramin Fallahzadeh, Parastoo Alinia, Hassan Ghasemzadeh 0001 |
An Energy-Efficient Computational Model for Uncertainty Management in Dynamically Changing Networked Wearables. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Dimitrios Stamoulis, Diana Marculescu |
Can We Guarantee Performance Requirements under Workload and Process Variations? |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Sushma Honnavara Prasad |
Overview of IEEE1801-2015: Standard for Design and Verification of Low-Power, Energy-Aware Electronic Systems: Invited Paper. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Zhezhi He, Deliang Fan |
A Low Power Current-Mode Flash ADC with Spin Hall Effect based Multi-Threshold Comparator. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
Displaying result #301 - #400 of 1918 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|