The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPASS"( http://dblp.L3S.de/Venues/ISPASS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispass

Publication years (Num. hits)
2000 (30) 2001 (25) 2003 (23) 2004 (24) 2005 (31) 2006 (27) 2007 (27) 2008 (23) 2009 (27) 2010 (31) 2011 (35) 2012 (30) 2013 (38) 2014 (34) 2015 (43) 2016 (40) 2017 (36) 2018 (29) 2019 (35) 2020 (41) 2021 (43) 2022 (46) 2023 (43)
Publication types (Num. hits)
inproceedings(738) proceedings(23)
Venues (Conferences, Journals, ...)
ISPASS(761)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 86 occurrences of 79 keywords

Results
Found 761 publication records. Showing 761 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Manjunath Shevgoor, Rajeev Balasubramonian, Niladrish Chatterjee, Jung-Sik Kim Addressing service interruptions in memory with thread-to-rank assignment. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xubin Tan, Jaume Bosch, Daniel Jiménez-González, Carlos Álvarez-Martínez, Eduard Ayguadé, Mateo Valero Performance analysis of a hardware accelerator of dependence management for task-based dataflow programming models. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Berkin Ilbeyi, Christopher Batten JIT-assisted fast-forward embedding and instrumentation to enable fast, accurate, and agile simulation. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shoaib Akram 0001, Jennifer B. Sartor, Lieven Eeckhout DVFS performance prediction for managed multithreaded applications. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1René de Jong, Andreas Sandberg NoMali: Simulating a realistic graphics driver stack using a stub GPU. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mark Gottscho, Sriram Govindan, Bikash Sharma, Mohammed Shoaib, Puneet Gupta 0001 X-Mem: A cross-platform and extensible memory characterization tool for the cloud. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Heiner Giefers, Peter W. J. Staar, Costas Bekas, Christoph Hagleitner Analyzing the energy-efficiency of sparse matrix multiplication on heterogeneous systems: A comparative study of GPU, Xeon Phi and FPGA. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Athanasios Chatzidimitriou, Dimitris Gizopoulos Anatomy of microarchitecture-level reliability assessment: Throughput and accuracy. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tapti Palit, Yongming Shen 0001, Michael Ferdman Demystifying cloud benchmarking. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yanpei Liu, Guilherme Cox, Qingyuan Deng, Stark C. Draper, Ricardo Bianchini FastCap: An efficient and fair algorithm for power capping in many-core systems. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Martin K. Brown, Zachary Yannes, Michael Lustig, Mazdak Sanati, Sally A. McKee, Gary S. Tyson, Steven K. Reinhardt Agave: A benchmark suite for exploring the complexities of the Android software stack. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rangeen Basu Roy Chowdhury, Anil K. Kannepalli, Sungkwan Ku, Eric Rotenberg AnyCore: A synthesizable RTL model for exploring and fabricating adaptive superscalar cores. Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 2016 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2016, Uppsala, Sweden, April 17-19, 2016 Search on Bibsonomy ISPASS The full citation details ... 2016 DBLP  BibTeX  RDF
1Sander De Pestel, Stijn Eyerman, Lieven Eeckhout Micro-architecture independent branch behavior characterization. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vincent M. Weaver Self-monitoring overhead of the Linux perf_ event performance counter interface. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiaoyue Pan, Bengt Jonsson 0001 A modeling framework for reuse distance-based estimation of cache performance. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amro Awad, Brett Kettering, Yan Solihin Non-volatile memory host controller interface performance analysis in high-performance I/O systems. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jeff Bush, Philip Dexter, Timothy N. Miller, Aaron Carpenter Nyami: a synthesizable GPU architectural model for general-purpose and graphics-specific workloads. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Derek Lockhart, Berkin Ilbeyi, Christopher Batten Pydgin: generating fast instruction set simulators from simple architecture descriptions with meta-tracing JIT compilers. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wes Felter, Alexandre Ferreira, Ram Rajamony, Juan Rubio 0001 An updated performance comparison of virtual machines and Linux containers. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zacharias Hadjilambrou, Marios Kleanthous, Yanos Sazeides Characterization and analysis of a web search benchmark. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Keitaro Oka, Wenhao Jia, Margaret Martonosi, Koji Inoue Characterization and cross-platform analysis of high-throughput accelerators. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Robert Smolinski, Rakesh Komuravelli, Hyojin Sung, Sarita V. Adve Eliminating on-chip traffic waste: are we there yet? Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Benjamin C. Lee Message from the general chair. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Junjie Qian, Du Li, Witawas Srisa-an, Hong Jiang 0001, Sharad C. Seth Factors affecting scalability of multithreaded Java applications on manycore systems. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hu-Qiu Liu, Jia-Ju Bai, Yu-Ping Wang 0001, Zhe Bian, Shi-Min Hu 0001 Pairminer: mining for paired functions in Kernel extensions. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Stijn Eyerman, Pierre Michaud, Wouter Rogiest Revisiting symbiotic job scheduling. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Benjamin Klenk, Lena Oden, Holger Fröning Analyzing communication models for distributed thread-collaborative processors in terms of energy and time. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu-Ting Chen, Jason Cong, Bingjun Xiao ARACompiler: a prototyping flow and evaluation framework for accelerator-rich architectures. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bin Li 0008, Shaoming Chen, Lu Peng 0001 Precise computer comparisons via statistical resampling methods. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Siddharth Nilakantan, Karthik Sangaiah, Ankit More, Giordano Salvador, Baris Taskin, Mark Hempstead Synchrotrace: synchronization-aware architecture-agnostic traces for light-weight multicore simulation. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Diana R. Guttman, Mahmut T. Kandemir, Meenakshi Arunachalam, Vlad Calina Performance and energy evaluation of data prefetching on intel Xeon Phi. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kothiya Mayank, Hongwen Dai, Jizeng Wei, Huiyang Zhou Analyzing graphics processor unit (GPU) instruction set architectures. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michael Papamichael, Cagla Cakir, Chen Sun 0003, Chia-Hsin Owen Chen, James C. Hoe, Ken Mai, Li-Shiuan Peh, Vladimir Stojanovic DELPHI: a framework for RTL-based architecture design evaluation using DSENT models. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gadi Oxman, Shlomo Weiss DNOC: an accurate and fast virtual channel and deflection routing network-on-chip simulator. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yipeng Wang 0002, Yan Solihin Emulating cache organizations on real hardware using performance cloning. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michael Andersch, Jan Lucas, Mauricio Alvarez-Mesa, Ben H. H. Juurlink On latency in GPU throughput microarchitectures. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lipeng Wan, Qing Cao, Wenjun Zhou 0001 Estimation-based profiling for code placement optimization in sensor network programs. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Matthew Halpern, Yuhao Zhu 0001, Ramesh Peri, Vijay Janapa Reddi Mosaic: cross-platform user-interaction record and replay for the fragmented android ecosystem. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sam Van den Steen, Sander De Pestel, Moncef Mechri, Stijn Eyerman, Trevor E. Carlson, David Black-Schaffer, Erik Hagersten, Lieven Eeckhout Micro-architecture independent analytical processor performance and power modeling. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seung-Hwan Lim, Sangkeun Lee 0001, Gautam Ganesh, Tyler C. Brown, Sreenivas R. Sukumar 0001 Graph Processing Platforms at Scale: Practices and Experiences. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michael Moeng, Alex K. Jones, Rami G. Melhem Reciprocal abstraction for computer architecture co-simulation. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 2015 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2015, Philadelphia, PA, USA, March 29-31, 2015 Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  BibTeX  RDF
1Dipti Shankar, Xiaoyi Lu, Jithin Jose, Md. Wasi-ur-Rahman, Nusrat S. Islam, Dhabaleswar K. Panda 0001 Can RDMA benefit online data processing workloads on memcached and MySQL? Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jian Chen, Russell M. Clapp Critical-path candidates: scalable performance modeling for MPI workloads. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gokcen Kestor, Roberto Gioiosa, Daniel G. Chavarría-Miranda Prometheus: scalable and accurate emulation of task-based applications on many-core systems. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jose Renau Message from the program chair. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xin Tong 0005, Andreas Moshovos QTrace: a framework for customizable full system instrumentation. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Adam N. Jacobvitz, Andrew D. Hilton, Daniel J. Sorin Multi-program benchmark definition. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chen-Han Ho, Venkatraman Govindaraju, Tony Nowatzki, Ranjini Nagaraju, Zachary Marzec, Preeti Agarwal, Chris Frericks, Ryan Cofell, Karthikeyan Sankaralingam Performance evaluation of a DySER FPGA prototype system spanning the compiler, microarchitecture, and hardware implementation. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cao Gao, Anthony Gutierrez, Madhav Rajan, Ronald G. Dreslinski, Trevor N. Mudge, Carole-Jean Wu A study of mobile device utilization. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1René de Jong, Andreas Hansson 0001 A full-system approach to analyze the impact of next-generation mobile flash storage. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Charles Yount, Harish Patil, Mohammad S. Islam, Aditya Srikanth Graph-matching-based simulation-region selection for multiple binaries. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Myung Kuk Yoon, Yunho Oh, Sangpil Lee, Seung-Hun Kim, Deokho Kim, Won Woo Ro DRAW: investigating benefits of adaptive fetch group size on GPU. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andrzej Nowak, David Levinthal, Willy Zwaenepoel Hierarchical cycle accounting: a new method for application performance tuning. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Geoffrey Blake, Ali G. Saidi Where does the time go? characterizing tail latency in memcached. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wenbo Dai, Natalie D. Enright Jerger Accelerating network-on-chip simulation via sampling. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shin-Ying Lee, Carole-Jean Wu Characterizing the latency hiding ability of GPUs. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chao Li 0004, Yi Yang 0018, Hongwen Dai, Shengen Yan, Frank Mueller 0001, Huiyang Zhou Understanding the tradeoffs between software-managed vs. hardware-managed caches in GPUs. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kazuaki Ishizaki, Shahrokh Daijavad, Toshio Nakatani Transforming Java programs for concurrency using Double-Checked Locking pattern. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jesmin Jahan Tithi, Neal Clayton Crago, Joel S. Emer Exploiting spatial architectures for edit distance algorithms. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bo Fang, Karthik Pattabiraman, Matei Ripeanu, Sudhanva Gurumurthi GPU-Qin: A methodology for evaluating the error resilience of GPGPU applications. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anshuman Gupta, Jack Sampson, Michael Bedford Taylor Quality Time: A simple online technique for quantifying multicore execution efficiency. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiaoyue Pan, Bengt Jonsson 0001 Modeling cache coherence misses on multicores. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Juan M. Cebrian, Magnus Jahre, Lasse Natvig Optimized hardware for suboptimal software: The case for SIMD-aware benchmarks. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amer Diwan Life lessons and datacenter performance analysis. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amin Farmahini Farahani, Nam Sung Kim, Katherine Morrow Energy-efficient reconfigurable cache architectures for accelerator-enabled embedded systems. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andreas Abel 0002, Jan Reineke 0001 Reverse engineering of cache replacement policies in Intel microprocessors and their evaluation. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Geetika Malhotra, Pooja Aggarwal, Abhishek Sagar, Smruti R. Sarangi ParTejas: A parallel simulator for multicore processors. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cao Gao, Anthony Gutierrez, Ronald G. Dreslinski, Trevor N. Mudge, Krisztián Flautner, Geoffrey Blake A study of Thread Level Parallelism on mobile devices. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seth H. Pugsley, Jeffrey Jestes, Huihui Zhang, Rajeev Balasubramonian, Vijayalakshmi Srinivasan, Alper Buyuktosunoglu, Al Davis, Feifei Li 0001 NDC: Analyzing the impact of 3D-stacked memory+logic devices on MapReduce workloads. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andreas Hansson 0001, Neha Agarwal, Aasheesh Kolli, Thomas F. Wenisch, Aniruddha N. Udipi Simulating DRAM controllers for future system architecture exploration. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yaosheng Fu, David Wentzlaff PriME: A parallel and distributed simulator for thousand-core chips. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Muneeb Khan, Andreas Sandberg, Erik Hagersten A case for resource efficient prefetching in multicores. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anthony Gutierrez, Joseph Pusdesris, Ronald G. Dreslinski, Trevor N. Mudge, Chander Sudanthi, Christopher D. Emmons, Mitchell Hayenga, Nigel C. Paver Sources of error in full-system simulation. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tobias J. K. Edler von Koch, Björn Franke Variability of data dependences and control flow. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Georg Ofenbeck, Ruedi Steinmann, Victoria Caparrós Cabezas, Daniele G. Spampinato, Markus Püschel Applying the roofline model. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 2014 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2014, Monterey, CA, USA, March 23-25, 2014 Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  BibTeX  RDF
1Yongbing Huang, Zhongbin Zha, Mingyu Chen 0001, Lixin Zhang 0002 Moby: A mobile benchmark suite for architectural simulators. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1David Eklov, Nikos Nikoleris, Erik Hagersten A software based profiling method for obtaining speedup stacks on commodity multi-cores. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jun Wang 0077, Jesse G. Beu, Rishiraj A. Bheda, Tom Conte 0001, Zhenjiang Dong, Chad D. Kersey, Mitchelle Rasquinha, George F. Riley, William J. Song, He Xiao, Peng Xu, Sudhakar Yalamanchili Manifold: A parallel simulation framework for multicore systems. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1George Kurian, Sabrina M. Neuman, George Bezerra, Anthony Giovinazzo, Srinivas Devadas, Jason E. Miller Power modeling and other new features in the Graphite simulator. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nikos Nikoleris, David Eklov, Erik Hagersten Extending statistical cache models to support detailed pipeline simulators. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Robin Lamarche-Perrin, Lucas Mello Schnorr, Jean-Marc Vincent, Yves Demazeau Evaluating trace aggregation for performance visualization of large distributed systems. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ahmad Yasin A Top-Down method for performance analysis and counters architecture. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Trevor E. Carlson, Wim Heirman, Kenzo Van Craeynest, Lieven Eeckhout BarrierPoint: Sampled simulation of multi-threaded applications. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrew D. Targhetta, Donald E. Owen, Paul V. Gratz The design space of ultra-low energy asymmetric cryptography. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1William J. Song, Saibal Mukhopadhyay, Sudhakar Yalamanchili Energy Introspector: A parallel, composable framework for integrated power-reliability-thermal modeling for multicore architectures. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gabriel Marin, Jack J. Dongarra, Daniel Terpstra MIAMI: A framework for application performance diagnosis. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fred Chong Bridging the energy-efficiency gap in a future of massive data. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yipeng Wang 0002, Yan Solihin XAMP: An eXtensible Analytical Model Platform. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vincent M. Weaver, Daniel Terpstra, Shirley Moore Non-determinism and overcount on modern hardware performance counter implementations. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1John Matienzo, Natalie D. Enright Jerger Performance analysis of broadcasting algorithms on the Intel Single-Chip Cloud Computer. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zhongliang Chen, David R. Kaeli, Norman Rubin Characterizing scalar opportunities in GPGPU applications. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jonathan C. Beard, Roger D. Chamberlain Use of simple analytic performance models for streaming data applications deployed on diverse architectures. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ricardo A. Velásquez 0001, Pierre Michaud, André Seznec Selecting benchmark combinations for the evaluation of multicore throughput. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nan Jiang 0009, Daniel U. Becker, George Michelogiannakis, James D. Balfour, Brian Towles, David E. Shaw, John Kim, William J. Dally A detailed and flexible cycle-accurate Network-on-Chip simulator. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bishop Brock, Srinivasan Ramani, Ken Vu, Heather Hanson, Michael S. Floyd Virtual Power Management simulation framework for computer systems. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sangpil Lee, Won Woo Ro Parallel GPU architecture simulation framework exploiting work allocation unit parallelism. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Benjamin S. Parsons, Vijay S. Pai A mathematical hard disk timing model for full system simulation. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 761 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license