The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPD"( http://dblp.L3S.de/Venues/ISPD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispd

Publication years (Num. hits)
1997 (34) 1998 (32) 1999 (33) 2000 (35) 2001 (36) 2002 (35) 2003 (32) 2004 (34) 2005 (45) 2006 (40) 2007 (33) 2008 (34) 2009 (34) 2010 (37) 2011 (31) 2012 (34) 2013 (39) 2014 (31) 2015 (30) 2016 (32) 2017 (32) 2018 (28) 2019 (40) 2020 (23) 2021 (27) 2022 (42) 2023 (50) 2024 (50)
Publication types (Num. hits)
inproceedings(956) proceedings(27)
Venues (Conferences, Journals, ...)
ISPD(983)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 841 occurrences of 340 keywords

Results
Found 983 publication records. Showing 983 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Guilherme Flach, Mateus Fogaça, Jucemar Monteiro, Marcelo O. Johann, Ricardo Augusto da Luz Reis Drive Strength Aware Cell Movement Techniques for Timing Driven Placement. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiaoqing Xu, Tetsuaki Matsunawa, Shigeki Nojima, Chikaaki Kodama, Toshiya Kotani, David Z. Pan A Machine Learning Based Framework for Sub-Resolution Assist Feature Generation. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ralph Otten Complexity and Diversity in IC Layout Design. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wen-Hsiang Chang, Li-De Chen, Chien-Hsueh Lin, Szu-Pang Mu, Mango Chia-Tso Chao, Cheng-Hong Tsai, Yen-Chih Chiu Generating Routing-Driven Power Distribution Networks with Machine-Learning Technique. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Johann Knechtel, Jens Lienig Physical Design Automation for 3D Chip Stacks: Challenges and Solutions. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ang Lu, Hao He, Jiang Hu Proximity Optimization for Adaptive Circuit Design. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sabya Das, Rajat Aggarwal, Zhiyong Wang An Interactive Physical Synthesis Methodology for High-Frequency FPGA Designs. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Filipp Akopyan Design and Tool Flow of IBM's TrueNorth: an Ultra-Low Power Programmable Neurosynaptic Chip with 1 Million Neurons. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Julien Ryckaert Scaling Beyond 7nm: Design-Technology Co-optimization at the Rescue. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Stephen Yang, Aman Gayasen, Chandra Mulpuri, Sainath Reddy, Rajat Aggarwal Routability-Driven FPGA Placement Contest. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hantao Huang, Hao Yu 0001, Cheng Zhuo, Fengbo Ren A Compressive-sensing based Testing Vehicle for 3D TSV Pre-bond and Post-bond Testing Data. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Patrick R. Groeneveld Optimizing for Power, Speed, Cost and Emissions in Automotive Drivetrains. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Antun Domic Some Observations on the Physical Design of the Next Decade. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Patrick R. Groeneveld Trailblazing Physical Design Flows: Ralph Otten's Impact on Design Automation. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lukas P. P. P. van Ginneken The Annealing Algorithm revisted. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhi-Wen Lin, Yao-Wen Chang Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tiago J. Reimann, Cliff C. N. Sze, Ricardo Reis 0001 Cell Selection for High-Performance Designs in an Industrial Design Flow. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kevin Zhang 0001 Circuit Design in Nano-Scale CMOS Technologies. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jingwei Lu, Hao Zhuang 0001, Ilgweon Kang, Pengwen Chen, Chung-Kuan Cheng ePlace-3D: Electrostatics based Placement for 3D-ICs. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Guojie Luo, Wentai Zhang 0001, Jiaxi Zhang 0001, Jason Cong Scaling Up Physical Design: Challenges and Opportunities. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Raymond X. Nijssen Challenges and Opportunities with Place and Route of Modern FPGA Designs. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rickard Ewetz, Chuan Yean Tan, Cheng-Kok Koh Construction of Latency-Bounded Clock Trees. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Greg Ford A Designer's Perspective on Timing Closure. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Evangeline F. Y. Young, Mustafa Ozdal (eds.) Proceedings of the 2016 on International Symposium on Physical Design, ISPD 2016, Santa Rosa, CA, USA, April 3-6, 2016 Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qin Wang 0005, Weiran He, Hailong Yao, Tsung-Yi Ho, Yici Cai SVM-Based Routability-Driven Chip-Level Design for Voltage-Aware Pin-Constrained EWOD Chips. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jürgen Scheible, Jens Lienig Automation of Analog IC Layout: Challenges and Solutions. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Qiang Han, Jianghao Guo, Qiang Xu 0001, Wen-Ben Jone On Resilient System Performance Binning. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mayler G. A. Martins, Jody Maick Matos, Renato P. Ribas, André Inácio Reis, Guilherme Schlinker, Lucio Rech, Jens Michelsen Open Cell Library in 15nm FreePDK Technology. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Li-C. Wang, Malgorzata Marek-Sadowska Machine Learning in Simulation-Based Analysis. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dean Drako Concept & Research to Revenue: An Entrepreneurial Story. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Karim Arabi, Kambiz Samadi, Yang Du 0001 3D VLSI: A Scalable Integration Beyond 2D. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tsung-Wei Huang, Martin D. F. Wong Accelerated Path-Based Timing Analysis with MapReduce. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rob A. Rutenbar Analog Circuit and Layout Synthesis Revisited. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rickard Ewetz, Cheng-Kok Koh A Useful Skew Tree Framework for Inserting Large Safety Margins. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tao Lin 0007, Chris C. N. Chu TPL-Aware Displacement-driven Detailed Placement Refinement with Coloring Constraints. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chrystian Guth, Vinicius S. Livramento, Renan Netto, Renan Fonseca, José Luís Güntzel, Luiz C. V. dos Santos Timing-Driven Placement Based on Dynamic Net-Weighting for Efficient Slack Histogram Compression. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Olivier Billoint, Hossam Sarhan, Iyad Rayane, Maud Vinet, Perrine Batude, Claire Fenouillet-Béranger, Olivier Rozeau, Gerald Cibrario, Fabien Deprat, Ogun Turkyilmaz, Sébastien Thuries, Fabien Clermidy From 2D to Monolithic 3D: Design Possibilities, Expectations and Challenges. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ulrich Brenner, Anna Hermann, Nils Hoppmann, Philipp Ochsendorf BonnPlace: A Self-Stabilizing Placement Framework. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hans Eisenmann Force-Directed Placement of VLSI Circuits. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Po-Hsun Wu, Mark Po-Hung Lin, Xin Li 0001, Tsung-Yi Ho Common-Centroid FinFET Placement Considering the Impact of Gate Misalignment. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michiel Oostindie, Coby Zelnik, Maarten Berkens Design Rule Management and its Applications in 15nm FreePDK Technology. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hsi-An Chien, Szu-Yuan Han, Ye-Hong Chen, Ting-Chi Wang A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ulf Schlichtmann Beyond GORDIAN and Kraftwerk: EDA Research at TUM. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1H.-S. Philip Wong, He Yi, Maryann C. Tung, Kye Okabe Physical Layout Design of Directed Self-Assembly Guiding Alphabet for IC Contact Hole/via Patterning. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Farid N. Najm Physical Design Challenges in the Chip Power Distribution Network. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ismail S. Bustany, David G. Chinnery, Joseph R. Shinnerl, Vladimir Yutsis ISPD 2015 Benchmarks with Fence Regions and Routing Blockages for Detailed-Routing-Driven Placement. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ali Abbasinasab, Malgorzata Marek-Sadowska Blech Effect in Interconnects: Applications and Design Guidelines. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chun-Kai Wang, Chuan-Chia Huang, Shih-Ying Sean Liu, Ching-Yu Chin, Sheng-Te Hu, Wei-Chen Wu, Hung-Ming Chen Closing the Gap between Global and Detailed Placement: Techniques for Improving Routability. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kirti Bhanushali, W. Rhett Davis FreePDK15: An Open-Source Predictive Process Design Kit for 15nm FinFET Technology. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chang Xu 0005, Peixin Li, Guojie Luo, Yiyu Shi 0001, Iris Hui-Ru Jiang Analytical Clustering Score with Application to Post-Placement Multi-Bit Flip-Flop Merging. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sungmin Bae, Hyung-Ock Kim, Jung Yun Choi, Jaehong Park Coarse-grained Structural Placement for a Synthesized Parallel Multiplier. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Martin D. F. Wong Early Days of Circuit Placement. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu-Guang Chen, Wan-Yu Wen, Tao Wang, Yiyu Shi 0001, Shih-Chieh Chang Q-Learning Based Dynamic Voltage Scaling for Designs with Graceful Degradation. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jody Maick Matos, Augusto Neutzling, Renato P. Ribas, André Inácio Reis A Benchmark Suite to Jointly Consider Logic Synthesis and Physical Design. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vladimir Yutsis, Ismail Bustany, David G. Chinnery, Joseph R. Shinnerl, Wen-Hao Liu ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shang-Tsung Yu, Sheng-Han Yeh, Tsung-Yi Ho Reliability-driven chip-level design for high-frequency digital microfluidic biochips. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Qi Zhu 0002, Peng Deng Design synthesis and optimization for automotive embedded systems. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Robert C. Aitken, Greg Yeric, Brian Cline, Saurabh Sinha, Lucian Shifren, Imran Iqbal, Vikas Chandra Physical design and FinFETs. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jason Cong From design to design automation. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chia-Tung Ho, Yu-Min Lee, Shu-Han Wei, Liang-Chia Cheng Incremental transient simulation of power grid. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Massoud Pedram Interconnect length estimation in VLSI designs: a retrospective. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Subhasish Mitra Carbon nanotube computer: transforming scientific discoveries into working systems. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rajat Aggarwal FPGA place & route challenges. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Michael J. Lorenzetti Making a difference in EDA: a thank you to Bryan Preas for his contributions to the profession. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Caleb Serafy, Ankur Srivastava 0001 Coupling-aware force driven placement of TSVs and shields in 3D-IC layouts. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shreepad Panth, Kambiz Samadi, Yang Du 0001, Sung Kyu Lim Placement-driven partitioning for congestion mitigation in monolithic 3D IC designs. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anand Arunachalam 0001 Integrated structured placement design methodology in place and route flow. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Scott Elrod Bryan Preas: broad contributions to system engineering in the 2000's. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Subhendu Roy, Pavlos M. Mattheakis, Laurent Masse-Navette, David Z. Pan Clock tree resynthesis for multi-corner multi-mode timing closure. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bryan Preas Smart matter systems, an introduction through examples. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiaoqing Xu, Brian Cline, Greg Yeric, Bei Yu 0001, David Z. Pan Self-aligned double patterning aware pin access and standard cell layout co-optimization. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wen-Hao Liu, Tzu-Kai Chien, Ting-Chi Wang A study on unroutable placement recognition. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shuai Li, Cheng-Kok Koh MIP-based detailed placer for mixed-size circuits. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Serge Leef Hardware cyber security. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cliff C. N. Sze, Azadeh Davoodi (eds.) International Symposium on Physical Design, ISPD'14, Petaluma, CA, USA, March 30 - April 02, 2014 Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wing-Kai Chow, Jian Kuang 0001, Xu He, Wenzan Cai, Evangeline F. Y. Young Cell density-driven detailed placement with displacement constraint. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jai-Ming Lin, Che-Chun Lin, Zong-Wei Syu, Chih-Chung Tsai, Kevin Huang Current density aware power switch placement algorithm for power gating designs. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jian Kuang 0001, Evangeline F. Y. Young A highly-efficient row-structure stencil planning approach for e-beam lithography with overlapped characters. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stephan Held, Sophie Theresa Spirkl A fast algorithm for rectilinear steiner trees with length restrictions on obstacles. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shih-Chuan Lo, Chih-Cheng Hsu, Mark Po-Hung Lin Power optimization for clock network with clock gate cloning and flip-flop merging. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1William Wu Shen 3DIC system design impact, challenge and solutions. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gi-Joon Nam, Sani R. Nassif Opportunities in power distribution network system optimization: from EDA perspective. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yilin Zhang, David Z. Pan Timing-driven, over-the-block rectilinear steiner tree construction with pre-buffering and slew constraints. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jin Hu, Debjit Sinha, Igor Keller TAU 2014 contest on removing common path pessimism during timing analysis. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fan Ye Indoor localization technology and algorithm issues. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shigetoshi Nakatake Practicality on placement given by optimality of packing. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rickard Ewetz, Cheng-Kok Koh Local merges for effective redundancy in clock networks. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kai-Han Tseng, Sheng-Chi You, Jhe-Yu Liou, Tsung-Yi Ho A top-down synthesis methodology for flow-based microfluidic biochips considering valve-switching minimization. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shigeki Nojima Optical lithography extension with double patterning. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1James D. Warnock Circuit and PD challenges at the 14nm technology node. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1David G. Chinnery High performance and low power design techniques for ASIC and custom in nanometer technologies. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Muhammet Mustafa Ozdal, Chirayu Amin, Andrey Ayupov, Steven M. Burns, Gustavo R. Wilke, Cheng Zhuo An improved benchmark suite for the ISPD-2013 discrete cell sizing contest. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Debjit Sinha, Luís Guerra e Silva, Jia Wang, Shesha Raghunathan, Dileep Netrabile, Ahmed Shebaita TAU 2013 variation aware timing analysis contest. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hua Xiang 0001, Minsik Cho, Haoxing Ren, Matthew M. Ziegler, Ruchir Puri Network flow based datapath bit slicing. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1John Giacobbe Physical design for debug: insurance policy for IC's. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Atsushi Takahashi 0001 Dawn of computer-aided design: from graph-theory to place and route. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jens Lienig Electromigration and its impact on physical design in future technologies. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Pei-Wen Luo, Chun Zhang, Yung-Tai Chang, Liang-Chia Cheng, Hung-Hsie Lee, Bih-Lan Sheu, Yu-Shih Su, Ding-Ming Kwai, Yiyu Shi 0001 Benchmarking for research in power delivery networks of three-dimensional integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kenneth S. Stevens Relative timing driven multi-synchronous design: enabling order-of-magnitude energy reduction. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xu He, Wing-Kai Chow, Evangeline F. Y. Young SRP: simultaneous routing and placement for congestion refinement. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 983 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license