The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ISQED with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2000 (79) 2001 (96) 2002 (106) 2003 (83) 2004 (93) 2005 (126) 2006 (141) 2007 (158) 2008 (172) 2009 (142) 2010 (135) 2011 (126) 2012 (114) 2013 (113) 2014 (108) 2015 (111) 2016 (81) 2017 (78) 2018 (74) 2019 (56) 2020 (78) 2021 (106) 2022 (92) 2023 (108)
Publication types (Num. hits)
article(3) inproceedings(2549) proceedings(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 577 occurrences of 388 keywords

Results
Found 2576 publication records. Showing 2576 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
40Hyeran Jeon, Nima Karimian, Tamara Lehman A New Foe in GPUs: Power Side-Channel Attacks on Neural Network. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Ali Mirzaeian, Jana Kosecka, Houman Homayoun, Tinoosh Mohsenin, Avesta Sasan Diverse Knowledge Distillation (DKD): A Solution for Improving The Robustness of Ensemble Models Against Adversarial Attacks. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Suruchi Sharma, Rikmantra Basu, Baljit Kaur Performance Investigation of a Si/Ge Heterojunction Asymmetric Double Gate DLTFET Considering Temperature and ITC Variations. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Hema Sai Kalluru, Prasenjit Saha, Andleeb Zahra, Zia Abbas PVT and Aging Degradation Invariant Automated Optimization Approach for CMOS Low-Power High-Performance VLSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Sathvik Tarikere Sathyanarayana, Anna-Antonia Berger, Mahesh Simpy Kumar, Akbay Erkan, Ramkrishna Paira Infineon Platform for SoC IO Ring and Package Design. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Zhangying He, Tahereh Miari, Hosein Mohammadi Makrani, Mehrdad Aliasgari, Houman Homayoun, Hossein Sayadi When Machine Learning Meets Hardware Cybersecurity: Delving into Accurate Zero-Day Malware Detection. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Sachin Bhat, Sounak Shaun Ghosh, Sourabh Kulkarni, Mingyu Li, Csaba Andras Moritz A Wafer-scale Manufacturing Pathway for Fine-grained Vertical 3D-IC Technology. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Safa Mhamdi, Patrick Girard 0001, Arnaud Virazel, Alberto Bosio, Aymen Ladhar Cell-Aware Diagnosis of Customer Returns Using Bayesian Inference. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Arijit Nath, Manik B. Bhosle, Hemangee K. Kapoor SeNonDiv: Securing Non-Volatile Memory using Hybrid Memory and Critical Data Diversion. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Vedika Saravanan, Samah Mohamed Saeed Decomposition-Based Watermarking of Quantum Circuits. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40Shuo Yang, Prabuddha Chakraborty, Patanjali SLPSK, Swarup Bhunia Trusted Electronic Systems with Untrusted COTS. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40G. Anand Kumar, Veeramanikandan Raju Self-Learning Analog Comparator with Adaptive Sampling Rate Scheme for Energy Optimization in Continuous Input Monitoring Applications. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
40 21st International Symposium on Quality Electronic Design, ISQED 2020, Santa Clara, CA, USA, March 25-26, 2020 Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  BibTeX  RDF
40Yuting Cao, Parijat Mukherjee, Mahesh Ketkar, Jin Yang 0006, Hao Zheng 0001 Mining Message Flows using Recurrent Neural Networks for System-on-Chip Designs. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Prawar Poudel, Aleksandar Milenkovic Saving Time and Energy Using Partial Flash Memory Operations in Low-Power Microcontrollers. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Chen Dong 0002, Lingqing Liu, Huangda Liu, Wenzhong Guo, Xing Huang, Sihuang Lian, Ximeng Liu, Tsung-Yi Ho A Survey of DMFBs Security: State-of-the-Art Attack and Defense. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Joseph Riad, Jianhao Chen, Edgar Sánchez-Sinencio, Peng Li 0001 Variation-Aware Heterogeneous Voltage Regulation for Multi-Core Systems-on-a-Chip with On-Chip Machine Learning. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Shujuan Yin, Zheyu Liu, Guihong Li, Fei Qiao, Qi Wei 0001, Yuanfeng Wu, Lianru Gao, Xinjun Liu, Huazhong Yang RARA: Dataflow Based Error Compensation Methods with Runtime Accuracy-Reconfigurable Adder. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Archisman Ghosh, K. Gaurav Kumar, Debaprasad De, Arnab Raha, Mrinal Kanti Naskar Energy-Efficient Edge Detection using Approximate Ramanujan Sums. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Teng-Chia Wang, Yan-Ping Chang, Chun-Jui Chen, Yun-Ju Lee, Chia-Chun Lin, Yung-Chih Chen, Chun-Yao Wang IMU-based Smart Knee Pad for Walking Distance and Stride Count Measurement. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Motoi Ichihashi, Jia Zeng, Youngtag Woo, Xuelian Zhu, Chenchen Wang, James Mazza Performance Boost Scheme with Activated Dummy Fin in 12-nm FinFET Technology for High-Performance Logic Application. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Mohammad Saeed Abrishami, Amir Erfan Eshratifar, David Eigen, Yanzhi Wang, Shahin Nazarian, Massoud Pedram Efficient Training of Deep Convolutional Neural Networks by Augmentation in Embedding Space. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Ahish Shylendra, Priyesh Shukla, Swarup Bhunia, Amit Ranjan Trivedi Fault Attack Detection in AES by Monitoring Power Side-Channel Statistics. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Shiya Liu, Yibin Liang, Victor M. Gan, Lingjia Liu 0001, Yang Yi 0002 Accurate and Efficient Quantized Reservoir Computing System. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Chun-Jui Chen, Yi-Ting Lin, Chia-Chun Lin, Yung-Chih Chen, Yun-Ju Lee, Chun-Yao Wang Rehabilitation System for Limbs using IMUs. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Mineo Kaneko Two-Graph Approach to Temperature Dependent Skew Scheduling. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Sumin Jot, Abdullah M. Zyarah, Santosh Kurinec, Kai Ni 0004, Fatima Tuz Zohora, Dhireesha Kudithipudi FeFET-Based Neuromorphic Architecture with On-Device Feedback Alignment Training. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Sonal Shreya, Surila Guglani, Brajesh Kumar Kaushik, Sourajeet Roy Statistical Analysis of Temperature Variability on the Write Efficiency of Spin-Orbit Torque MRAM using Polynomial Chaos Metamodels. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Ahmedullah Aziz, Kaushik Roy 0001 Insulator-Metal Transition Material Based Artificial Neurons: A Design Perspective. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Edward Wang, Colin Schmidt 0001, Adam M. Izraelevitz, John Charles Wright, Borivoje Nikolic, Elad Alon, Jonathan Bachrach A Methodology for Reusable Physical Design. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Qian Wang 0022, Omid Aramoon, Pengfei Qiu, Gang Qu 0001 Efficient Transfer Learning on Modeling Physical Unclonable Functions. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40David C. C. Freitas, David F. M. Mota, Daniel Simões, Clailton Lopes, Roger C. Goerl, César Augusto Missio Marcon, Jarbas A. N. Silveira, João Cesar M. Mota Error Coverage, Reliability and Cost Analysis of Fault Tolerance Techniques for 32-bit Memories used on Space Missions. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Dimitrios Garyfallou, Ioannis Tsiokanos, Nestor E. Evmorfopoulos, Georgios I. Stamoulis, Georgios Karakonstantis Accurate Estimation of Dynamic Timing Slacks using Event-Driven Simulation. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Kaship Sheikh, Lan Wei Reducing Impact of CNFET Process Imperfections on Shape of Activation Function by Using Connection Pruning and Approximate Neuron Circuit. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Suprajaa Tummala, Xiaobang Liu, Ranga Vemuri Signal Selection Heuristics for Post-Silicon Validation. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Hasib-Al Rashid, Nitheesh Kumar Manjunath, Hirenkumar Paneliya, Morteza Hosseini, W. David Hairston, Tinoosh Mohsenin A Low-Power LSTM Processor for Multi-Channel Brain EEG Artifact Detection. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Abhijitt Dhavlle, Raj Mehta, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao Entropy-Shield: Side-Channel Entropy Maximization for Timing-based Side-Channel Attacks. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Hassan Afzali-Kusha, Mehdi Kamal, Massoud Pedram Low-power Accuracy-configurable Carry Look-ahead Adder Based on Voltage Overscaling Technique. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Mahmoud A. Elmohr, Haohao Liao, Catherine H. Gebotys EM Fault Injection on ARM and RISC-V. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Farnaz Behnia, Ali Mirzaeian, Mohammad Sabokrou, Sai Manoj P. D., Tinoosh Mohsenin, Khaled N. Khasawneh, Liang Zhao 0002, Houman Homayoun, Avesta Sasan Code-Bridged Classifier (CBC): A Low or Negative Overhead Defense for Making a CNN Classifier Robust Against Adversarial Attacks. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Yuanwen Huang, Prabhat Mishra 0001 Vulnerability-aware Dynamic Reconfiguration of Partially Protected Caches. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Siyu Yang, Gabriel A. Rincón-Mora Piezoelectric CMOS Charger: Highest Output-Power Design. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Ankit Mondal, Ankur Srivastava 0001 Spintronics-based Reconfigurable Ising Model Architecture. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Hirenkumar Paneliya, Morteza Hosseini, Avesta Sasan, Houman Homayoun, Tinoosh Mohsenin CSCMAC - Cyclic Sparsely Connected Neural Network Manycore Accelerator. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Mohammad Saeed Abrishami, Hao Ge, Justin F. Calderon, Massoud Pedram, Shahin Nazarian NN-PARS: A Parallelized Neural Network Based Circuit Simulation Framework. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Xiaolin Xu, Jiliang Zhang 0002 Rethinking FPGA Security in the New Era of Artificial Intelligence. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Rakibul Hassan, Gaurav Kolhe, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao SATConda: SAT to SAT-Hard Clause Translator. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Amirhossein Esmaili, Massoud Pedram Energy-aware Scheduling of Jobs in Heterogeneous Cluster Systems Using Deep Reinforcement Learning. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Navid Khoshavi, Connor Broyles, Yu Bi Compression or Corruption? A Study on the Effects of Transient Faults on BNN Inference Accelerators. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Marzieh Vaeztourshizi, Mehdi Kamal, Massoud Pedram EGAN: A Framework for Exploring the Accuracy vs. Energy Efficiency Trade-off in Hardware Implementation of Error Resilient Applications. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Yuntao Liu 0001, Michael Zuzak, Yang Xie, Abhishek Chakraborty 0001, Ankur Srivastava 0001 Strong Anti-SAT: Secure and Effective Logic Locking. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Junde Li, Mahabubul Alam, Abdullah Ash-Saki, Swaroop Ghosh Hierarchical Improvement of Quantum Approximate Optimization Algorithm for Object Detection: (Invited Paper). Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Elham Azari, Ankit Wagle, Sunil P. Khatri, Sarma B. K. Vrudhula A Statistical Methodology for Post-Fabrication Weight Tuning in a Binary Perceptron. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Sheikh Ariful Islam, Love Kumar Sah, Srinivas Katkoori Analytical Estimation and Localization of Hardware Trojan Vulnerability in RTL Designs. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Aijiao Cui, Yuxi Wang How to Retrieve PUF Response from a Fabricated Chip Securely? Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Dileep Kurian, Tanay Karnik, Saksham Soni, Saransh Chhabra, Suhwan Kim, Jaykant Timbadiya, Ankit Gupta, Krishnan Ravichandran, Mukesh Bhartiya, Angela Nicoara Self-Powered IOT System for Edge Inference. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Mohammad Nasim Imtiaz Khan, Chak Yuen Cheng, Sung-Hao Lin, Abdullah Ash-Saki, Swaroop Ghosh A Morphable Physically Unclonable Function and True Random Number Generator using a Commercial Magnetic Memory. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40G. Anand Kumar Secure, Scalable and Low-Power Junction Temperature Sensing for Multi-Processor Systems-on-Chip. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Abdullah Ash-Saki, Mahabubul Alam, Swaroop Ghosh Improving Reliability of Quantum True Random Number Generator using Machine Learning. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Shivendra Singh, Varshita Gupta, Anuj Grover, Kedar Janardan Dhori Diagnostic Circuit for Latent Fault Detection in SRAM Row Decoder. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Zhixing Li, Weiping Shi Layout Capacitance Extraction Using Automatic Pre-Characterization and Machine Learning. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40David Thompson, Haibo Wang 0005 Extracting Power Signature from Low Dropout Voltage Regulator for IoT Security. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Yu-Guang Chen, Yu-Yi Lin, Ing-Chao Lin An NBTI-aware Task Parallelism Scheme for Improving Lifespan of Multi-core Systems. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Ajoy Mandal, Saili Shete Optimal Choice of Waveform for Library Characterization for Accurate Delay Calculation. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Dina Medhat, Mohamed Dessouky, DiaaEldin Khalil Electrostatic Discharge Physical Verification of 2.5D/3D Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Sumitha George, Nicholas Jao, Akshay Krishna Ramanathan, Xueqing Li, Sumeet Kumar Gupta, John Sampson, Vijaykrishnan Narayanan Integrated CAM-RAM Functionality using Ferroelectric FETs. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Moeez Akmal, Muhammad Sarmad Saeed, Muhammad Usama Sardar, Hareem Shafi, Osman Hasan, Heba Khdr, Jörg Henkel Comparative Framework for the Analysis of Thermal and Resource Management Algorithms for Multi-Core Architectures. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Qiyuan An, Kangjun Bai, Moqi Zhang, Yang Yi 0002, Yifang Liu Deep Neural Network Based Speech Recognition Systems Under Noise Perturbations. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Yuntao Liu 0001, Ankit Mondal, Abhishek Chakraborty 0001, Michael Zuzak, Nina Jacobsen, Daniel Xing, Ankur Srivastava 0001 A Survey on Neural Trojans. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Ryan Gary Kim Learning-Enabled NoC Design for Heterogeneous Manycore Systems. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Omid Aramoon, Gang Qu 0001 Impacts of Machine Learning on Counterfeit IC Detection and Avoidance Techniques. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Francis G. Wolff, Daniel J. Weyer, Christos A. Papachristou, Steve Clay Design Space Exploration Driven by Lifetime Concerns due to Electromigration. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Seetal Potluri, Aydin Aysu, Akash Kumar 0001 SeqL: Secure Scan-Locking for IP Protection. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Christos Georgakidis, Georgios Ioannis Paliaroutis, Nikolaos Sketopoulos, Pelopidas Tsoumanis, Christos P. Sotiriou, Nestor E. Evmorfopoulos, Georgios I. Stamoulis A Layout-Based Soft Error Rate Estimation and Mitigation in the Presence of Multiple Transient Faults in Combinational Logic. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Hengying Shan, Nathan J. Conrad, Shabnam Ghotbi, John Peterson, Saeed Mohammadi Integrated Implantable Electrode Array and Amplifier Design for Single-chip Wireless Neural Recordings. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Han Xu 0006, Ziru Li, Fei Qiao, Qi Wei 0001, Xinjun Liu, Huazhong Yang CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with Column Data Segmentation. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Iram Tariq Bhatti, Osman Hasan Formal Verification of a Fully Automated Out-of-Plane Cell Injection System. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Ashkan Vakil, Farnaz Behnia, Ali Mirzaeian, Houman Homayoun, Naghmeh Karimi, Avesta Sasan LASCA: Learning Assisted Side Channel Delay Analysis for Hardware Trojan Detection. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Yu Wang, Jeong-Jun Lee, Yu Ding 0005, Peng Li 0001 A Scalable FPGA Engine for Parallel Acceleration of Singular Value Decomposition. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Yixing Li, Fengbo Ren BNN Pruning: Pruning Binary Neural Network Guided by Weight Flipping Frequency. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Shanglin Zhou, Bingbing Li, Caiwu Ding, Lu Lu 0003, Caiwen Ding An Efficient Deep Reinforcement Learning Framework for UAVs. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Xianwei Cheng, Hui Zhao 0013, Mahmut T. Kandemir, Saraju P. Mohanty, Beilei Jiang Alleviating Bottlenecks for DNN Execution on GPUs via Opportunistic Computing. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Jeeson Kim, Vladimir Kornijcuk, Doo Seok Jeong TS-EFA: Resource-efficient High-precision Approximation of Exponential Functions Based on Template-scaling Method. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Jinbo Chen, Keren Liu, Xiaochen Guo, Patrick Girard 0001, Yuanqing Cheng DOVA: A Dynamic Overwriting Voltage Adjustment for STT-RAM L1 Cache. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Rajit Karmakar, Santanu Chattopadhyay On Securing Scan Obfuscation Strategies Against ScanSAT Attack. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Mohammad Nasim Imtiaz Khan, Swaroop Ghosh Multi-Bit Read and Write Methodologies for Diode-MTJ Crossbar Array. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Tengtao Li, Sachin S. Sapatnekar Stress-Induced Performance Shifts in Flexible System-in-Foils Using Ultra-Thin Chips. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Nan Xing, Gabriel A. Rincón-Mora Highest Wireless Power: Inductively Coupled Or RF? Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Akihiro Goda, Yukio Miyasaka, Amir Masoud Gharehbaghi, Masahiro Fujita Synthesis and Generalization of Parallel Algorithms Considering Communication Constraints. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40Thomas Hubregtsen, Christoph Segler, Josef Pichlmeier, Aritra Sarkar, Thomas Gabor, Koen Bertels Integration and Evaluation of Quantum Accelerators for Data-Driven User Functions. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
40 20th International Symposium on Quality Electronic Design, ISQED 2019, Santa Clara, CA, USA, March 6-7, 2019 Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  BibTeX  RDF
40Qian Wang 0022, Mingze Gao, Gang Qu 0001 PUF-PassSE: A PUF based Password Strength Enhancer for IoT Applications. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
40Sherif Amer, Garrett S. Rose A Multi-Driver Write Scheme for Reliable and Energy Efficient 1S1R ReRAM Crossbar Arrays. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
40Sumon Dey, Paul D. Franzon An Application Specific Processor Architecture with 3D Integration for Recurrent Neural Networks. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
40Amir Erfan Eshratifar, Amirhossein Esmaili, Massoud Pedram Towards Collaborative Intelligence Friendly Architectures for Deep Learning. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
40Vaibhav Agarwal, Sneh Saurabh Application of Probabilistic Spin Logic (PSL) in Detecting Satisfiability of a Boolean Function. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
40Hung-Han Lin, Vita Pi-Ho Hu Device Designs and Analog Performance Analysis for Negative-Capacitance Vertical-Tunnel FET. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
40Sonal Shreya, Brajesh Kumar Kaushik Low Restoration-Energy Differential Spin Hall Effect MRAM for High-Speed Nonvolatile SRAM Application. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
40A. K. M. Mahfuzul Islam, Shinichi Nishizawa, Yusuke Matsui, Yoshinobu Ichida Drive-Strength Selection for Synthesis of Leakage-Dominant Circuits. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
40Antara Ganguly, Rajeev Muralidhar, Virendra Singh Towards Energy Efficient non-von Neumann Architectures for Deep Learning. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2576 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license