The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Leticia Oyuki Rojas-Perez, José Martínez-Carranza On-board processing for autonomous drone racing: An overview. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Neelam Arya, Teena Soni, Manisha Pattanaik, G. K. Sharma 0001 READ: A fixed restoring array based accuracy-configurable approximate divider for energy efficiency. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jayachandran Remya, P. C. Subramaniam, K. J. Dhanaraj A novel tunable gain CMOS buffer amplifier for large resistive loads. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuejun Zhang, Jiawei Wang, Pengjun Wang, Xiaoyong Xue, Xiaoyang Zeng Orthogonal obfuscation based key management for multiple IP protection. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Seyed-Hosein Attarzadeh-Niaki, Ingo Sander, Mohammad Ahmadi An automated parallel simulation flow for cyber-physical system design. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fei Qi 0005, Yi Chai, Liping Chen, YangQuan Chen, Ranchao Wu Passivity-based non-fragile control of a class of uncertain fractional-order nonlinear systems. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xintong Han, Jun Mou, Li Xiong 0016, Chenguang Ma, Tianming Liu 0005, Yinghong Cao Coexistence of infinite attractors in a fractional-order chaotic system with two nonlinear functions and its DSP implementation. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Poornima Narayanasamy, Seetharaman Gopalakrishnan, Santhi Muthurathinam Custom NoC topology generation using Discrete Antlion Trapping Mechanism. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fengjuan Wang, Ruinan Ren, Xiangkun Yin, Ningmei Yu, Yuan Yang 0006 A transformer with high coupling coefficient and small area based on TSV. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ankur Changela, Mazad Zaveri, Deepak Verma Mixed-radix, virtually scaling-free CORDIC algorithm based rotator for DSP applications. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1M. Babu, G. A. Sathish Kumar Design of novel SMS4-BSK encryption transmission system. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Laurence Pierre Refinement rules for the automatic TLM-to-RTL conversion of temporal assertions. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Young Seo Lee, Kyung Min Kim, Ji Heon Lee, Young-Ho Gong, Seon Wook Kim, Sung Woo Chung Monolithic 3D stacked multiply-accumulate units. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fei Yu 0009, Hui Shen 0002, ZiNan Zhang, Yuanyuan Huang 0001, Shuo Cai, Sichun Du A new multi-scroll Chua's circuit with composite hyperbolic tangent-cubic nonlinearity: Complex dynamics, Hardware implementation and Image encryption application. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Abhijit Chandra, Amit Kumar, Subhabrata Roy Design of FIR filter ISOTA with the aid of genetic algorithm. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Noor Munir, Majid Khan, Tariq Shah, Ammar S. Alanazi, Iqtadar Hussain Cryptanalysis of nonlinear confusion component based encryption algorithm. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dimitris Konstantinou, Chrysostomos Nicopoulos, Junghee Lee, Giorgos Dimitrakopoulos Multicast-enabled network-on-chip routers leveraging partitioned allocation and switching. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jorge Alves Torres, João Costa Freire 30 GHz SiGe active inductor with voltage controlled Q. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Maytham Allahi Rudposhti, Mojtaba Valinataj High-speed and low-cost carry select adders utilizing new optimized add-one circuit and multiplexer-based logic. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hoang Gia Vu, Takashi Nakada, Yasuhiko Nakashima Efficient hardware task migration for heterogeneous FPGA computing using HDL-based checkpointing. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Debraj Kundu, Jitendra Giri, Sataru Maruyama, Sudip Roy 0001, Shigeru Yamashita Fluid-to-cell assignment and fluid loading on programmable microfluidic devices for bioprotocol execution. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ashish Singh, Rajeevan Chandel, Rohit Dhiman Proposal and analysis of relative stability in mixed CNT bundle for sub-threshold interconnects. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nizar Dahir, Ammar Karkar, Maurizio Palesi, Terrence S. T. Mak, Alex Yakovlev Power density aware application mapping in mesh-based network-on-chip architecture: An evolutionary multi-objective approach. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hao Ding 0007, Junyan Qian, Lingzhong Zhao, Zhongyi Zhai A mathematical programming method for constructing the shortest interconnection VLSI arrays. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Suvajit Roy, Radha Raman Pal Electronically tunable third-order dual-mode quadrature sinusoidal oscillators employing VDCCs and all grounded components. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhaohui Chen, Yuan Ma, Tianyu Chen, Jingqiang Lin, Jiwu Jing High-performance area-efficient polynomial ring processor for CRYSTALS-Kyber on FPGAs. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shasha Guo, Ziyang Kang, Lei Wang 0011, Limeng Zhang, Xiaofan Chen, Shiming Li, Weixia Xu HashHeat: A hashing-based spatiotemporal filter for dynamic vision sensor. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Inga Abel, Maximilian Neuner, Helmut Graeb COPRICSI: COnstraint-PRogrammed Initial Circuit SIzing. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Deepak Agrawal, Sudhanshu Maheshwari Design and implementation of current mode circuit for digital modulation. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anas Razzaq, Sajjad Rostami Sani, Andy Gean Ye Designing efficient FPGA tiles for power-constrained ultra-low-power applications. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Changzhi Li, Karthikeyan Rajagopal, Fahimeh Nazarimehr, Yongjian Liu A non-autonomous chaotic system with no equilibrium. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Junyan Qian, Fuhao Mo, Hao Ding 0007, Zhide Zhou, Lingzhong Zhao, Zhongyi Zhai An improved algorithm for accelerating reconfiguration of VLSI array. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Aiman H. El-Maleh, Ghashmi H. Bin Talib Time redundancy and gate sizing soft error-tolerant based adder design. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1N. Nalla Anandakumar, Mohammad S. Hashmi, Mark M. Tehranipoor FPGA-based Physical Unclonable Functions: A comprehensive overview of theory and architectures. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Somayeh Kashi, Ahmad Patooghy, Dara Rahmati, Mahdi Fazeli An energy efficient synthesis flow for application specific SoC design. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yiming Wen, Weize Yu Breaking LPA-resistant cryptographic circuits with principal component analysis. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Supriya Aggarwal Efficient design of decimation filter using linear programming and its FPGA implementation. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jacob Harrison, Navid Asadizanjani, Mark M. Tehranipoor On malicious implants in PCBs throughout the supply chain. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Umar Aalam, Bodhisatwa Mazumdar, Neminath Hubballi mMIG: Inversion optimization in majority inverter graph with minority operations. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Frank Herzel, Arzu Ergintav, Gunter Fischer A novel approach to fractional-N PLLs generating ultra-fast low-noise chirps for FMCW radar. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohamed Chentouf, Foffie Stevmelin, Zine El Abidine Alaoui Ismaili Power-aware hold optimization for ASIC physical synthesis. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Amir Hossein Kazemi, Mohsen Hayati Design and analysis of a flat gain and linear low noise amplifier using modified current reused structure with feedforward structure. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anirban Bhattacharjee, Chandan Bandyopadhyay, Angshu Mukherjee, Robert Wille, Rolf Drechsler, Hafizur Rahaman 0001 An ant colony based mapping of quantum circuits to nearest neighbor architectures. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu Wang 0155, Jianfeng Ren, Chien-In Henry Chen Calibration of optimized minimum inductor bandpass filter with controllable bandwidth and stopband rejection. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Haoyu Yang, Wei Zhong, Yuzhe Ma, Hao Geng, Ran Chen, Wanli Chen, Bei Yu 0001 VLSI mask optimization: From shallow to deep learning. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1El Bakkali Moustapha, Elftouh Hanae, Naima Amar Touhami, Taj-eddin Elhamadi 2.3-21 GHz broadband and high linearity distributed low noise amplifier. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohamad Hasani Sadi, Ali Mahani 0001 Accelerating Deep Convolutional Neural Network base on stochastic computing. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Stavroula Kapoulea, Costas Psychalinos, Ahmed S. Elwakil, S. Hassan HosseinNia Realizations of fractional-order PID loop-shaping controller for mechatronic applications. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Junyi Zhou, Roger Kahn, Shlomo Weiss A novel low power hybrid cache using GC-EDRAM cells. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Caffey Jindal, Rishikesh Pandey A very low output resistance and wide-swing class-AB level-shifted folded flipped voltage follower cell. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gamze Islamoglu, Tugberk Ogulcan Çakici, Seyda Nur Güzelhan, Engin Afacan, Günhan Dündar Deep learning aided efficient yield analysis for multi-objective analog integrated circuit synthesis. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Radhika V. Menon, Shantharam Kalipatnapu, Indrajit Chakrabarti High speed VLSI architecture for improved region based active contour segmentation technique. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Engin Afacan, Nuno Lourenço 0003, Ricardo Martins 0003, Günhan Dündar Review: Machine learning techniques in analog/RF integrated circuit design, synthesis, layout, and test. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anil Kumar Gundu, Volkan Kursun Novel low leakage and energy efficient dual-pullup/dual-pulldown repeater. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Daniel Öhlinger, Jürgen Maier 0002, Matthias Függer, Ulrich Schmid 0001 The Involution Tool for Accurate Digital Timing and Power Analysis. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Esraa Khatab, Ahmed Onsy, Martin R. Varley, Ahmed Abouelfarag Vulnerable objects detection for autonomous driving: A review. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Richa Sharma, Vijaypal Singh Rathor, G. K. Sharma 0001, Manisha Pattanaik A new hardware Trojan detection technique using deep convolutional neural network. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anirban Bhattacharjee, Chandan Bandyopadhyay, Philipp Niemann 0001, Bappaditya Mondal, Rolf Drechsler, Hafizur Rahaman 0001 An improved heuristic technique for nearest neighbor realization of quantum circuits in 2D architecture. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Subhabrata Roy, Abhijit Chandra A Survey of FIR Filter Design Techniques: Low-complexity, Narrow Transition-band and Variable Bandwidth. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bao Fang, Huaguo Liang, Dawen Xu 0002, Maoxiang Yi, Yongxia Sheng, Cuiyun Jiang, Zhengfeng Huang, Yingchun Lu Approximate multipliers based on a novel unbiased approximate 4-2 compressor. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kolluri Rajesh, Sumanta Pyne Invasive weed optimization based scheduling for digital microfluidic biochip operations. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Adel Ouannas, Amina-Aicha Khennaoui, Taki-Eddine Oussaeif, Viet-Thanh Pham, Giuseppe Grassi, Zohir Dibi Hyperchaotic fractional Grassi-Miller map and its hardware implementation. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Afef Saidi, Slim Ben Othman, Meriam Dhouibi, Slim Ben Saoud FPGA-based implementation of classification techniques: A survey. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Niu Guangshan, Liu Cong, Zhang Jianwei, Xuetao Li, Xiangdong Luo Research progress of time-interleaved analog-to-digital converters. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sanjay Moulik RESET: A real-time scheduler for energy and temperature aware heterogeneous multi-core systems. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ernesto Zambrano-Serrano, Jesús M. Muñoz-Pacheco, Fernando E. Serrano, Luis Abraham Sánchez-Gaspariano, Christos K. Volos Experimental verification of the multi-scroll chaotic attractors synchronization in PWL arbitrary-order systems using direct coupling and passivity-based control. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mojtaba Valinataj, Zahra Yazdanian Amiri Comments on "Improved designs of digit-by-digit decimal multiplier". Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Qi Guo, Ning Wang 0015, Guoshan Zhang A novel current-controlled memristor-based chaotic circuit. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Francisco Garcia-Herrero, Alfonso Sánchez-Macián, Juan Antonio Maestro Low delay non-binary error correction codes based on Orthogonal Latin Squares. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jayaraj U. Kidav, N. M. Sivamangai, Perumal M. Pillai, Sreejeesh S. G. A broadband MVDR beamforming core for ultrasound imaging. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Najeeb Alam Khan, Saeed Akbar, Tooba Hameed, Muhammad Ali Qureshi Stumped nature hyperjerk system with fractional order and exponential nonlinearity: Analog simulation, bifurcation analysis and cryptographic applications. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gabriel Busnot, Tanguy Sassolas, Nicolas Ventroux, Matthieu Moy Standard-compliant parallel SystemC simulation of loosely-timed transaction level models: From baremetal to Linux-based applications support. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Han Zhou 0002, Liang Chen 0025, Sheldon X.-D. Tan Robust power grid network design considering EM aging effects for multi-segment wires. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anirban Tarafdar, Abir J. Mondal, Uttam Kumar Bera, Bidyut K. Bhattacharyya A PVT aware differential delay circuit and its performance variation due to power supply noise. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anindita Chakraborty, Partha Sarathi Gupta, Ritika Singh, Rakesh Das, Hafizur Rahaman 0001 BDD-based synthesis approach for in-memory logic realization utilizing Memristor Aided loGIC (MAGIC). Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bahram Rashidi Compact and efficient structure of 8-bit S-box for lightweight cryptography. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Changho Han, Taewhan Kim Synthesis of representative critical path circuits considering BEOL variations for deep sub-micron circuits. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sabyasachee Banerjee, Subhashis Majumder, Debesh K. Das, Bhargab B. Bhattacharya Fast algorithms for test optimization of core based 3D SoC. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Roohollah Sanati, Farzan Khatib, Mohammad Javadian Sarraf, Reihaneh Kardehi Moghaddam Low power time-domain rail-to-rail comparator with a new delay element for ADC applications. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Majid Khan, Sajjad Shaukat Jamal, Mohammad Mazyad Hazzazi, Khawaja Muhammad Ali, Iqtadar Hussain, Muhammad Asif An efficient image encryption scheme based on double affine substitution box and chaotic system. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuejun Zhang, Haiming Zhang, Pengjun Wang, Qiufeng Wu, Gang Li A 0.004% resolution & SAT. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jesus R. Pulido-Luna, Jorge-Antonio López-Rentería, Nohé R. Cázarez-Castro, Eric Campos-Cantón A two-directional grid multiscroll hidden attractor based on piecewise linear system and its application in pseudo-random bit generator. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jie Li 0030, Pedro Reviriego, Shanshan Liu 0001, Liyi Xiao, Fabrizio Lombardi Designs for efficient low power cardinality and similarity sketches by Two-Step Hashing (TSH). Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Guoyong Shi Sizing of multi-stage Op Amps by combining design equations with the gm/ID method. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Guang Chen, Ing-Chao Lin, Kun-Wei Chiu, Cheng-Hsuan Liu An efficient NBTI-aware wake-up strategy: Concept, design, and manipulation. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Karri Manikantta Reddy, M. H. Vasantha, Nithin Y. B. Kumar, Ch. Keshava Gopal, Devesh Dwivedi Quantization aware approximate multiplier and hardware accelerator for edge computing of deep learning applications. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gaurav Sharma 0008, Lava Bhargava, Vinod Kumar 0016 Real-time automated register abstraction active power-aware electronic system level verification framework. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kulbhushan Sharma, Anisha Pathania, Rahul Pandey, Jaya Madan, Rajnish Sharma MOS based pseudo-resistors exhibiting Tera Ohms of Incremental Resistance for biomedical applications: Analysis and proof of concept. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Akif Akgul, Bilal Gurevin, Ihsan Pehlivan, Muhammed Yildiz, Mustafa Çagri Kutlu, Emre Güleryüz Development of micro computer based mobile random number generator with an encryption application. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Omar Naifar, Abdellatif Ben Makhlouf Synchronization of mutual coupled fractional order one-sided lipschitz systems. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Srinivas Katkoori, Sheikh Ariful Islam, Sujana Kakarla Partial evaluation based triple modular redundancy for single event upset mitigation. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mangal Deep Gupta, Rajeev K. Chauhan Secure image encryption scheme using 4D-Hyperchaotic systems based reconfigurable pseudo-random number generator and S-Box. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hamed Mohammadian, Mohammad Bagher Tavakoli, Farbod Setoudeh, Ashkan Horri Introduction of a new technique for simultaneous reduction of the delay and leakage current in digital circuits. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shuo Li, Junren Pan, Jin He 0002, Zhiyuan Cao, Hao Wang 0046, Sheng Chang, Qijun Huang A 25-Gb/s inductorless SiGe BiCMOS receiver for 100-Gb/s optical links. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shanze Huang, Jin He 0002, Shuo Li, Zhiyuan Cao, Jiankang Li A 20-Gb/s wideband AGC amplifier with 26-dB dynamic range in 0.18-μm SiGe BiCMOS. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fanny Spagnolo, Stefania Perri, Pasquale Corsonello Design of a real-time face detection architecture for heterogeneous systems-on-chips. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zheng Shiji, Guoquan Wu A folded-cascode mixer for mixing-spur suppressions in a 2.4-to-5.8 GHz transmitter. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yanbin Li, Ming Tang 0002, Yuguang Li, Huanguo Zhang A pre-silicon logic level security verification flow for higher-order masking schemes against glitches on FPGAs. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Min Pan, Lili Pang 0002, Jiaye Xie, Yufei Han, Qiqing Xu A 0.6V 44.6 ppm/ºC subthreshold CMOS voltage reference with wide temperature range and inherent leakage compensation. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mateus Fogaça, Andrew B. Kahng, Eder Monteiro, Ricardo Reis 0001, Lutong Wang, Mingyu Woo On the superiority of modularity-based clustering for determining placement-relevant clusters. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1972 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license