The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ReConFig"( http://dblp.L3S.de/Venues/ReConFig )

URL (DBLP): http://dblp.uni-trier.de/db/conf/reconfig

Publication years (Num. hits)
2005 (29) 2006 (42) 2008 (77) 2009 (78) 2010 (79) 2011 (85) 2012 (68) 2013 (84) 2014 (86) 2015 (80) 2016 (56) 2017 (53) 2018 (40) 2019 (43)
Publication types (Num. hits)
inproceedings(886) proceedings(14)
Venues (Conferences, Journals, ...)
ReConFig(900)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 389 occurrences of 238 keywords

Results
Found 900 publication records. Showing 900 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Tassadaq Hussain, Nehir Sönmez, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Eduard Ayguadé, Mateo Valero, Shakaib A. Gursal PAMS: Pattern Aware Memory System for embedded systems. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sam Skalicky, Tyler Kwolek, Sonia López, Marcin Lukowiak Enabling FPGA support in Matlab based heterogeneous systems. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhuo Qian, Martin Margala Low power RAM-based hierarchical CAM on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yu Bai 0004, Mingjie Lin Stochastically computing discrete Fourier transform with reconfigurable digital fabric. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ekawat Homsirikamol, Kris Gaj Can high-level synthesis compete against a hand-written code in the cryptographic domain? A case study. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Adrien Blanchardon, Roselyne Chotin-Avot, Habib Mehrez, Emna Amouri Impact of defect tolerance techniques on the criticality of a SRAM-based mesh of cluster FPGA. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Quang Hoa Le, Emmanuel Casseau, Antoine Courtay Place Reservation technique for online task placement on a multi-context heterogeneous reconfigurable architecture. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Misael Lopez-Ramirez, Luis Manuel Ledesma-Carrillo, Ana L. Martinez-Herrera, Eduardo Cabal-Yepez, Homero Miranda-Vidales FPGA-based reconfigurable unit for real-time power quality index estimation. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tomas Drahonovsky, Martin Rozkovec, Ondrej Novák A highly flexible reconfigurable system on a Xilinx FPGA. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Friedrich Wiemer, Ralf Zimmermann High-speed implementation of bcrypt password search using special-purpose hardware. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cristinel Ababei, Rajesh G. Kavasseri, Mohammad A. Zare Net reordering and multicommodity flow based global routing for FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shijie Zhou 0001, Sihan Zhao, Viktor K. Prasanna 400 Gbps energy-efficient multi-field packet classification on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammed M. Farag, Mohammad A. Ewais Smart employment of circuit redundancy to effectively counter trojans (SECRET) in third-party IP cores. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniel Kirschberger, Holger Flatt, Jürgen Jasperneite An architectural approach for reconfigurable industrial I/O devices. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alexandra Kourfali, Elias Vansteenkiste, Dirk Stroobandt Parameterised FPGA reconfigurations for efficient test set generation. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammad A. Zare, Rajesh G. Kavasseri, Cristinel Ababei FPGA-based design and implementation of direct torque control for induction machines. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniel Tortei Tertei, Jonathan Piat, Michel Devy FPGA design and implementation of a matrix multiplier based accelerator for 3D EKF SLAM. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fynn Schwiegelshohn, Michael Hübner 0001 Design of an attention detection system on the Zynq-7000 SoC. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rico Backasch, Gerald Hempel, Stefan Werner 0002, Sven Groppe, Thilo Pionteck Identifying homogenous reconfigurable regions in heterogeneous FPGAs for module relocation. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Khaled E. Ahmed, Mohammed M. Farag Overloaded CDMA bus topology for MPSoC interconnect. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vignesh Adhinarayanan, Thaddeus Koehn, Krzysztof Kepa, Wu-chun Feng, Peter Athanas On the performance and energy efficiency of FPGAs and GPUs for polyphase channelization. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Thomas B. Preußer, Oliver Knodel, Rainer G. Spallek PoC-align: An open-source alignment accelerator using FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alfredo Espinoza-Rhoton, Luis F. Gonzalez-Perez, J. L. Ponce, Borrayo-S. Hector, Lennin C. Yllescas-Calderon, Ramón Parra-Michel, Hassan Aboushady An FPGA-based all-digital 802.11b & 802.15.4 receiver for the Software Defined Radio paradigm. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Byron Navas, Johnny Öberg, Ingo Sander On providing scalable self-healing adaptive fault-tolerance to RTR SoCs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peter Reichel, Jens Döge Hardware/software infrastructure for ASIC commissioning and rapid system prototyping. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gang Chen 0023, Biao Hu 0001, Kai Huang 0001, Alois C. Knoll, Kai Huang 0002, Di Liu 0002, Todor P. Stefanov Automatic cache partitioning and time-triggered scheduling for real-time MPSoCs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shinya Takamaeda-Yamazaki, Kenji Kise A framework for efficient rapid prototyping by virtually enlarging FPGA resources. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shanyuan Gao, Jeremy Chritz Characterization of OpenCL on a scalable FPGA architecture. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andreas Emeretlis, George Theodoridis, George-Othon Glentis High-performance FPGA implementations of volterra DFEs for optical fiber systems. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1James Demma, Peter Athanas A hardware generator for factor graph applications. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Felipe A. P. de Figueiredo, Fabiano S. Mathilde, Fabbryccio A. C. M. Cardoso, Rafael M. Vilela, Joao Paulo Miranda Efficient FPGA-based implementation of a CAZAC sequence generator for 3GPP LTE. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014 Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  BibTeX  RDF
1Jose Fernando Zazo, Marco Forconesi, Sergio López-Buedo, Gustavo Sutter, Javier Aracil 0001 TNT10G: A high-accuracy 10 GbE traffic player and recorder for multi-Terabyte traces. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Konrad Häublein, Marc Reichenbach, Dietmar Fey Fast and generic hardware architecture for stereo block matching applications on embedded systems. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Poona Bahrebar, Dirk Stroobandt Adaptive and reconfigurable fault-tolerant routing method for 2D Networks-on-Chip. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Carlos Andres Lara-Nino, César Torres-Huitzil, Jose Hugo Barron-Zambrano Versatile educational and research robotic platform based on reconfigurable hardware. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tobias Wiersema, Arne Bockhorn, Marco Platzner Embedding FPGA overlays into configurable Systems-on-Chip: ReconOS meets ZUMA. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tom Davidson, Dirk Stroobandt Data path analysis for dynamic circuit specialisation. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Saki Hatta, Nobuyuki Tanaka, Satoshi Shigematsu Area-efficient dynamically reconfigurable protocol-processing-hardware for access network communications SoC. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1An Hung Nguyen, Mark R. Pickering, Andrew J. Lambert The FPGA implementation of an image registration algorithm using binary images. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jorge Echavarria, Alicia Morales-Reyes, René Cumplido, Miguel A. Salido FSM merging and reduction for IP cores watermarking using Genetic Algorithms. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jens Rettkowski, Diana Göhringer RAR-NoC: A reconfigurable and adaptive routable Network-on-Chip for FPGA-based multiprocessor systems. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Osvaldo Navarro, Michael Hübner 0001 An adaptive victim cache scheme. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fouad Sahraoui, Fakhreddine Ghaffari, Mohamed El Amine Benkhelifa, Bertrand Granado Context-aware resources placement for SRAM-based FPGA to minimize checkpoint/recovery overhead. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tobias Kenter, Henning Schmitz, Christian Plessl Kernel-centric acceleration of high accuracy stereo-matching. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pavel G. Zaykov, Georgi Kuzmanov, Anca Mariana Molnos, Kees Goossens Hardware Task-Status Manager for an RTOS with FIFO communication. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lei Xu 0012, Pham Dang Khoa, Seung-Hun Kim, Won Woo Ro, Weidong Shi LUT based secure cloud computing - An implementation using FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1C. Wilson, Paolo Zicari, Stefan Craciun, P. Gauvin, E. Carlisle, Alan D. George, Herman Lam A power-efficient real-time architecture for SURF feature extraction. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hongyuan Ding, Miaoqing Huang A unified OpenCL-flavor programming model with scalable hybrid hardware platform on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bernhard Jungk, Leandro Rodrigues Lima, Matthias Hiller A systematic study of lightweight hash functions on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Naoyuki Fujita, Toshifumi Yanagisawa, Hirohisa Kurosaki, Hiroshi Oda The speed-up of detection of space debris using "InterP" and "FLOPS2D". Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ali Asgar Sohanghpurwala, Peter M. Athanas, Andrew Love A device-agnostic tool for precomputing legal placements in modular design flows. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Edward A. Lee Keynote - It's about time. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Di Wu, Andreas Moshovos Advanced branch predictors for soft processors. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gavin Vaz, Heinrich Riebler, Tobias Kenter, Christian Plessl Deferring accelerator offloading decisions to application runtime. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Timm Bostelmann, Sergei Sawitzki A conceptual toolchain for an application domain specific reconfigurable logic architecture. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Maik Ender, Gerd Duppmann, Alexander Wild, Thomas Pöppelmann, Tim Güneysu A hardware-assisted proof-of-concept for secure VoIP clients on untrusted operating systems. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chuan Cheng, Christos-Savvas Bouganis Memory optimisation for hardware induction of axis-parallel decision tree. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yu Wang 0136, Hyunchul Shin An effective window based legalization algorithm for FPGA placement. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Karim M. Abdellatif, Roselyne Chotin-Avot, Habib Mehrez Lightweight and compact solutions for secure reconfiguration of FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matthias Hinkfoth, Ralf Joost, Ralf Salomon Improving calibration precision of signal-delay-based time measurement systems in FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michael Rückauer, Daniel M. Muñoz, Timo Stripf, Oliver Oey, Carlos H. Llanos, Jürgen Becker 0001 A flexible implementation of the PSO algorithm for fine- and coarse-grained reconfigurable embedded systems. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kiran Kumar Matam, Viktor K. Prasanna Energy-efficient large-scale matrix multiplication on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mingjie Lin, Shaoyi Cheng, John Wawrzynek Extracting memory-level parallelism through reconfigurable hardware traces. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tim Gallagher Keynote 2 - Past, current, and future of faster, cheaper, better. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Luis F. Gonzalez-Perez, Lennin C. Yllescas-Calderon, Ramón Parra-Michel Parallel and configurable turbo decoder implementation for 3GPP-LTE. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Durga Prasad Sahoo, Debdeep Mukhopadhyay, Rajat Subhra Chakraborty Design of low area-overhead ring oscillator PUF with large challenge space. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yann Thoma, Alberto Dassatti, Daniel Molla FPGA2: An open source framework for FPGA-GPU PCIe communication. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jonas Gomes Filho, Jiang Chau Wang Exploring the problems of placement and mapping in NoC-based reconfizurable systems. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Thomas Schweizer, Wolfgang Rosenstiel, Luigi Vaz Ferreira, Marcus Ritt Timing error handling on CGRAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ali Ebrahim, Khaled Benkrid, Jalal Khalifat, Chuan Hong A platform for secure IP integration in Xilinx Virtex FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Raymond J. Weber, Justin A. Hogan, Brock J. LaMeres Power efficiency benchmarking of a partially reconfigurable, many-tile system implemented on a Xilinx Virtex-6 FPGA. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Da Tong, Viktor K. Prasanna Online heavy hitter detector on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Pedro Cervantes Lozano, Luis Fernando González Pérez, Andrés David García García A VLSI architecture for the QR decomposition based on the MCGR algorithm. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Milica Orlandic, Kjetil Svarstad A low complexity H.264/AVC 4×4 intra prediction architecture with macroblock/block reordering. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Roberto Ammendola, Andrea Biagioni, Ottorino Frezza, Francesca Lo Cicero, Alessandro Lonardo, Pier Stanislao Paolucci, Davide Rossetti, Francesco Simula, Laura Tosoratto, Piero Vicini Design and implementation of a modular, low latency, fault-aware, FPGA-based network interface. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Milovan Duric, Oscar Palomar, Aaron Smith ReCompAc: Reconfigurable compute accelerator. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Horácio C. Neto, Mário P. Véstias Very low resource table-based FPGA evaluation of elementary functions. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Umer I. Cheema, Ashfaq A. Khokhar A high performance architecture for computing burrows-wheeler transform on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Luis Manuel Ledesma-Carrillo, Misael Lopez-Ramirez, Ana L. Martinez-Herrera FPGA-based reconfigurable unit for image filtering in frequency domain. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Edgar Mora-Sanchez, Jason Helge Anderson Leakage power reduction in FPGA DSP circuits through algorithmic noise tolerance. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Swamy D. Ponpandi, Zhang Zhang, Akhilesh Tyagi PolyNOC - A polymorphic thread simulator for NoC communication based embedded systems. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Aydin Aysu, Patrick Schaumont PASC: Physically authenticated stable-clocked soc platform on low-cost FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Krishna K. Nagar, Jason D. Bakos Accuracy, cost, and performance tradeoffs for floating-point accumulation. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Markus Weinhardt, Alexander Krieger, Thomas Kinder A framework for PC applications with portable and scalable FPGA accelerators. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hiroaki Konoura, Dawood Alnajiar, Yukio Mitsuyama, Hiroyuki Ochi, Takashi Imagawa, Shinichi Noda, Kazutoshi Wakabayashi, Masanori Hashimoto, Takao Onoye Mixed-grained reconfigurable architecture supporting flexible reliability and C-based design. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Byron Navas, Johnny Öberg, Ingo Sander Towards the generic reconfigurable accelerator: Algorithm development, core design, and performance analysis. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Oguzhan Erdem, Aydin Carus Range tree-linked list hierarchical search structure for packet classification on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Moritz Schmid, Markus Blocherer, Frank Hannig, Jürgen Teich Real-timerange image preprocessing on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohamed Ben Jrad, Régis Leveugle Automated design flow for no-cost configuration error detection in sram-based FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ren Chen, Viktor K. Prasanna Energy-efficient architecture for stride permutation on streaming data. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jean-Pierre David Max-hashing fragments for large data sets detection. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sam Skalicky, Christopher A. Wood, Marcin Lukowiak, Matthew Ryan High level synthesis: Where are we? A case study on matrix multiplication. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Juan Carlos Moctezuma, Joseph McGeehan, José Luis Núñez-Yáñez Numerically efficient and biophysically accurate neuroprocessing platform. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bryant C. Lam, Carlo Pascoe, Scott Schaecher, Herman Lam, Alan D. George BSW: FPGA-accelerated BLAST-Wrapped Smith-Waterman aligner. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Duarte Lopes de Oliveira, Diego Bompean, Lester de Abreu Faria, Joao Luis V. Oliveira Design of asynchronous systems on FPGA using direct mapping and synchronous specification. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kevin Zeng, Peter Athanas Enhancing productivity with back-end similarity matching of digital circuits for IP reuse. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cristina I. Muresan, George Dan Mois, Silviu Folea, Clara M. Ionescu Alternative implementations of a fractional order control algorithm on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Elif Bilge Kavun, Gregor Leander, Tolga Yalçin A reconfigurable architecture for searching optimal software code to implement block cipher permutation matrices. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Emna Amouri, Adrien Blanchardon, Roselyne Chotin-Avot, Habib Mehrez, Zied Marrakchi Efficient multilevel interconnect topology for cluster-based mesh FPGA architecture. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 900 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license