Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
11 | Shuai Wang 0006, Hongyan Yang, Jie S. Hu, Sotirios G. Ziavras |
Asymmetrically Banked Value-Aware Register Files. |
ISVLSI |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Catalin Dima, Ruggero Lanotte |
Distributed Time-Asynchronous Automata. |
ICTAC |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Sami El-Mahgary, Eljas Soisalon-Soininen |
A Two-Phased Visual Query Interface for Relational Databases. |
DEXA |
2007 |
DBLP DOI BibTeX RDF |
visual query interfaces, Relational databases, query languages |
11 | Lionel Paris, Richard Ostrowski, Pierre Siegel, Lakhdar Sais |
From Horn Strong Backdoor Sets to Ordered Strong Backdoor Sets. |
MICAI |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Manuel Clavel, Francisco Durán 0001, Steven Eker, Patrick Lincoln, Narciso Martí-Oliet, José Meseguer 0001, Carolyn L. Talcott |
Full Maude: Extending Core Maude. |
All About Maude |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Manuel Clavel, Francisco Durán 0001, Steven Eker, Patrick Lincoln, Narciso Martí-Oliet, José Meseguer 0001, Carolyn L. Talcott |
Module Operations. |
All About Maude |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Allan Zhang, Sumi Helal |
SuperCache: A Mechanism to Minimize the Front End Latency. |
ITNG |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Marko van Dooren, Eric Steegmans |
A Higher Abstraction Level Using First-Class Inheritance Relations. |
ECOOP |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Christoph Weidenbach, Renate A. Schmidt, Thomas Hillenbrand, Rostislav Rusev, Dalibor Topic |
System Description: SpassVersion 3.0. |
CADE |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Manoj Gupta 0001, Fermín Sánchez, Josep Llosa |
Cluster-level simultaneous multithreading for VLIW processors. |
ICCD |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Silvia Crafa, Daniele Varacca, Nobuko Yoshida |
Compositional Event Structure Semantics for the Internal pi -Calculus. |
CONCUR |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Giuliano Antoniol, Yann-Gaël Guéhéneuc, Ettore Merlo, Paolo Tonella |
Mining the Lexicon Used by Programmers during Sofware Evolution. |
ICSM |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Mehrdad Reshadi, Bita Gorjiara, Nikil D. Dutt |
Generic Processor Modeling for Automatically Generating Very Fast Cycle-Accurate Simulators. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Till Mossakowski, Markus Roggenbach |
Structured CSP - A Process Algebra as an Institution. |
WADT |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Patrice Buche, Juliette Dibie-Barthélemy, Fanny Wattez |
Approximate Querying of XML Fuzzy Data. |
FQAS |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Josep M. Pérez, Rosa M. Badia, Jesús Labarta |
Including SMP in Grids as Execution Platform and Other Extensions in GRID Superscalar. |
e-Science |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Stefano Guerrini, Patrizia Marzuoli |
Commutative Locative Quantifiers for Multiplicative Linear Logic. |
ICALP (2) |
2006 |
DBLP DOI BibTeX RDF |
Ludics, linear logic, proof nets |
11 | Maribel Fernández, Murdoch Gabbay |
Curry-Style Types for Nominal Terms. |
TYPES |
2006 |
DBLP DOI BibTeX RDF |
polymorphism, type inference, rewriting, binding |
11 | Steve Counsell, Youssef Hassoun, George Loizou, Rajaa Najjar |
Common refactorings, a dependency graph and some code smells: an empirical study of Java OSS. |
ISESE |
2006 |
DBLP DOI BibTeX RDF |
testing, refactoring, taxonomy, code smells |
11 | Wu-An Kuo, Yi-Ling Chiang, TingTing Hwang, Allen C.-H. Wu |
Performance-driven crosstalk elimination at post-compiler level. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Rama Sangireddy |
Fast and low-power processor front-end with reduced rename logic circuit complexity. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Arend Rensink |
Model Checking Quantified Computation Tree Logic. |
CONCUR |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Burkhard Englert |
Adaptive Algorithms Using Bounded Memory Are Inherently Non-uniform. |
ISPA |
2006 |
DBLP DOI BibTeX RDF |
|
11 | David A. Naumann |
From Coupling Relations to Mated Invariants for Checking Information Flow. |
ESORICS |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Hagit Attiya |
Adapting to Point Contention with Long-Lived Safe Agreement . |
SIROCCO |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Kamal Lodaya |
Product Automata and Process Algebra. |
SEFM |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Yang Yu, Fanglu Guo, Susanta Nanda, Lap-Chung Lam, Tzi-cker Chiueh |
A feather-weight virtual machine for windows applications. |
VEE |
2006 |
DBLP DOI BibTeX RDF |
namespace virtualization, virtual machine, copy on write, mobile code security, system call interception |
11 | Emil Talpes, Diana Marculescu |
Execution cache-based microarchitecture for power-efficient superscalar processors. |
IEEE Trans. Very Large Scale Integr. Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Stijn Vansummeren |
On the complexity of deciding typability in the relational algebra. |
Acta Informatica |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Michael W. Godfrey, Lijie Zou |
Using Origin Analysis to Detect Merging and Splitting of Source Code Entities. |
IEEE Trans. Software Eng. |
2005 |
DBLP DOI BibTeX RDF |
origin analysis, Software evolution, restructuring, reverse engineering and reengineering |
11 | Patrick Carribault, Albert Cohen 0001, William Jalby |
Deep Jam: Conversion of Coarse-Grain Parallelism to Instruction-Level and Vector Parallelism for Irregular Applications. |
IEEE PACT |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Roope Kaivola |
Formal Verification of Pentium® 4 Components with Symbolic Simulation and Inductive Invariants. |
CAV |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Julie A. Street, Robert G. Pettit IV |
The Impact of UML 2.0 on Existing UML 1.4 Models. |
MoDELS |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Pedro Chaparro, Grigorios Magklis, José González 0002, Antonio González 0001 |
Distributing the Frontend for Temperature Reduction. |
HPCA |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Bart Du Bois, Serge Demeyer, Jan Verelst |
Does the "Refactor to Understand" Reverse Engineering Pattern Improve Program Comprehension? |
CSMR |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Eli Gafni, Sergio Rajsbaum |
Musical Benches. |
DISC |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Norman Ramsey, Kathleen Fisher, Paul Govereau |
An expressive language of signatures. |
ICFP |
2005 |
DBLP DOI BibTeX RDF |
signature manipulation, interfaces, signatures, standard ML, objective Caml, programming in the large |
11 | Sam Jeong, Jung Han |
A Loop Transformation Using Two Parallel Region Partitioning Method. |
APPT |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Peng Zhou, Soner Önder, Steve Carr 0001 |
Fast branch misprediction recovery in out-of-order superscalar processors. |
ICS |
2005 |
DBLP DOI BibTeX RDF |
processor state, checkpoint, recovery, branch misprediction |
11 | Frédéric Lang |
Exp.Open 2.0: A Flexible Tool Integrating Partial Order, Compositional, and On-The-Fly Verification Methods. |
IFM |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Cesare Pautasso |
JOpera: An Agile Environment for Web Service Composition with Visual Unit Testing and Refactoring. |
VL/HCC |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Vlad Petric, Tingting Sha, Amir Roth |
RENO - A Rename-Based Instruction Optimizer. |
ISCA |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Hua Yang, Gang Cui, Xiaozong Yang |
Eliminating Inter-Thread Interference in Register File for SMT Processors. |
PDCAT |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Sumit Gupta, Nicolae Savoiu, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau |
Using global code motions to improve the quality of results for high-level synthesis. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Michael Factor, Assaf Schuster, Konstantin Shagin |
Instrumentation of standard libraries in object-oriented languages: the twin class hierarchy approach. |
OOPSLA |
2004 |
DBLP DOI BibTeX RDF |
standard class libraries, java, inheritance, code instrumentation |
11 | Jie S. Hu, Narayanan Vijaykrishnan, Soontae Kim, Mahmut T. Kandemir, Mary Jane Irwin |
Scheduling Reusable Instructions for Power Reduction. |
DATE |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Vinod Viswanath |
Multi-log Processor - Towards Scalable Event-Driven Multiprocessors. |
DSD |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Samson Abramsky, Dan R. Ghica, Andrzej S. Murawski, C.-H. Luke Ong, Ian David Bede Stark |
Nominal Games and Full Abstraction for the Nu-Calculus. |
LICS |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Carmit Hazay, Moshe Lewenstein, Dina Sokol |
Approximate Parameterized Matching. |
ESA |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Arun Lakhotia, Moinuddin Mohammed |
Imposing Order on Program Statements to Assist Anti-Virus Scanners. |
WCRE |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Liem Tran, Nicholas Nelson 0001, Fung Ngai, Steve Dropsho, Michael C. Huang 0001 |
Dynamically reducing pressure on the physical register file through simple register sharing. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
11 | James Cheney, Christian Urban |
alpha-Prolog: A Logic Programming Language with Names, Binding and a-Equivalence. |
ICLP |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Jamison D. Collins, Dean M. Tullsen |
Clustered Multithreaded Architectures - Pursuing both IPC and Cycle Time. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Zhenyu Liu, Jiayue Qi |
A Novel Rename Register Architecture and Performance Analysis. |
Asia-Pacific Computer Systems Architecture Conference |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Elmar Böhler, Edith Hemaspaandra, Steffen Reith, Heribert Vollmer |
The Complexity of Boolean Constraint Isomorphism. |
STACS |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Sam Jin Jeong |
Maximizing Parallelism for Nested Loops with Non-uniform Dependences. |
ICCSA (4) |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Rajeev Alur, P. Madhusudan |
Visibly pushdown languages. |
STOC |
2004 |
DBLP DOI BibTeX RDF |
?-languages, verification, logic, context-free languages, pushdown automata, regular tree languages |
11 | Maxime Crochemore, Raffaele Giancarlo, Marie-France Sagot |
Longest Motifs with a Functionally Equivalent Central Block. |
SPIRE |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Chen Ding 0001, Maksim Orlovich |
The Potential of Computation Regrouping for Improving Locality. |
SC |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Artiom Alhazov, Rudolf Freund |
On the Efficiency of P Systems with Active Membranes and Two Polarizations. |
Workshop on Membrane Computing |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Shaz Qadeer |
Verifying Sequential Consistency on Shared-Memory Multiprocessors by Model Checking. |
IEEE Trans. Parallel Distributed Syst. |
2003 |
DBLP DOI BibTeX RDF |
specifying and verifying and reasoning about programs, model checking, verification, multiprocessors, Logic design |
11 | Rosa M. Badia, Jesús Labarta, Raül Sirvent, Josep M. Pérez, José M. Cela, Rogeli Grima |
Programming Grid Applications with GRID Superscalar. |
J. Grid Comput. |
2003 |
DBLP DOI BibTeX RDF |
Grid programming models, Grid middleware |
11 | Jorge García-Vidal, Jesús Corbal, Llorenç Cerdà, Mateo Valero |
Design and Implementation of High-Performance Memory Systems for Future Packet Buffers. |
MICRO |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Marian Vittek |
Refactoring Browser with Preprocessor. |
CSMR |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Gang-Ryung Uh |
Tailoring Software Pipelining for Effective Exploitation of Zero Overhead Loop Buffer. |
SCOPES |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Jeremy Lau, Stefan Schoenmackers, Timothy Sherwood, Brad Calder |
Reducing code size with echo instructions. |
CASES |
2003 |
DBLP DOI BibTeX RDF |
code size optimization, echo instructions, compression |
11 | Jaap-Henk Hoepman |
Splitters: Objects for Online Partitioning. |
OPODIS |
2003 |
DBLP DOI BibTeX RDF |
splitters, divide & conquer, asynchronous communication, shared objects |
11 | Christian Urban, Andrew M. Pitts, Murdoch Gabbay |
Nominal Unificaiton. |
CSL |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Paramjit S. Oberoi, Gurindar S. Sohi |
Parallelism in the Front-End. |
ISCA |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Mario Bravetti, Roberto Gorrieri |
Deciding and axiomatizing weak ST bisimulation for a process algebra with recursion and action refinement. |
ACM Trans. Comput. Log. |
2002 |
DBLP DOI BibTeX RDF |
ST bisimulation, noninterleaving semantics, process algebra, axiomatization, Action refinement |
11 | Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh |
A Comparison of Asymptotically Scalable Superscalar Processors. |
Theory Comput. Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
11 | Murdoch Gabbay, Andrew M. Pitts |
A New Approach to Abstract Syntax with Variable Binding. |
Formal Aspects Comput. |
2002 |
DBLP DOI BibTeX RDF |
Alpha-conversion, Permutation actions, Set theory, Abstract syntax, Structural induction |
11 | Michel Raynal |
Wait-Free Objects for Real-Time Systems? (position paper). |
Symposium on Object-Oriented Real-Time Distributed Computing |
2002 |
DBLP DOI BibTeX RDF |
Wait-Free Objects, Fault-Tolerance, Real-Time, Graceful Degradation |
11 | André Seznec, Eric Toullec, Olivier Rochecouste |
Register write specialization register read specialization: a path to complexity-effective wide-issue superscalar processors. |
MICRO |
2002 |
DBLP DOI BibTeX RDF |
|
11 | Katerina Korenblat, Orna Grumberg, Shmuel Katz |
Translations between Textual Transition Systems and Petri Nets. |
IFM |
2002 |
DBLP DOI BibTeX RDF |
textual transition systems, structure and semantics preservation, Petri nets, model translations |
11 | Michael Boyd, Peter McBrien, Nerissa Tong |
The AutoMed Schema Integration Repository. |
BNCOD |
2002 |
DBLP DOI BibTeX RDF |
|
11 | Antti Valmari, Antti Kervinen |
Alphabet-Based Synchronisation is Exponentially Cheaper. |
CONCUR |
2002 |
DBLP DOI BibTeX RDF |
|
11 | Teresa Monreal, Víctor Viñals, Antonio González 0001, Mateo Valero |
Hardware Schemes for Early Register Release. |
ICPP |
2002 |
DBLP DOI BibTeX RDF |
|
11 | Elmar Böhler, Edith Hemaspaandra, Steffen Reith, Heribert Vollmer |
Equivalence and Isomorphism for Boolean Constraint Satisfaction. |
CSL |
2002 |
DBLP DOI BibTeX RDF |
|
11 | James J. Hunt, Walter F. Tichy |
Extensible Language-Aware Merging. |
ICSM |
2002 |
DBLP DOI BibTeX RDF |
|
11 | Masahiro Goshima, Kengo Nishino, Toshiaki Kitamura, Yasuhiko Nakashima, Shinji Tomita, Shin-ichiro Mori |
A high-speed dynamic instruction scheduling scheme for superscalar processors. |
MICRO |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Tony Werner, Venkatesh Akella |
An Asynchronous Superscalar Architecture for Exploiting Instruction-Level Parallelism. |
ASYNC |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Foto N. Afrati, Chen Li 0001, Jeffrey D. Ullman |
Generating Efficient Plans for Queries Using Views. |
SIGMOD Conference |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Lars-Erik Thorelli, Vladimir Vlassov |
An Approach to Composing Parallel Programs. |
PaCT |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Eli Gafni, Michael Merritt, Gadi Taubenfeld |
The concurrency hierarchy, and algorithms for unbounded concurrency. |
PODC |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Sabine Broda, Luís Damas |
A Context-Free Grammar Representation for Normal Inhabitants of Types in TAlambda. |
EPIA |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Imad Saleh, Fabrice Papy, Nasreddine Bouhaï |
Creation of a Personal Space with HyWebMap. |
AICCSA |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Clemens Fischer, Ernst-Rüdiger Olderog, Heike Wehrheim |
A CSP View on UML-RT Structure Diagrams. |
FASE |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Debesh K. Das, Uttam K. Bhattacharya, Bhargab B. Bhattacharya |
Isomorph-Redundancy in Sequential Circuits. |
IEEE Trans. Computers |
2000 |
DBLP DOI BibTeX RDF |
testing, redundancy, ATPG, DFT, stuck-at faults, sequential machines |
11 | Sébastien Mustière, Lorenza Saitta, Jean-Daniel Zucker |
Abstraction in Cartographic Generalization. |
ISMIS |
2000 |
DBLP DOI BibTeX RDF |
|
11 | Walter Vogler |
Efficiency of Asynchronous Systems That Communicate Asynchronously. |
ICATPN |
2000 |
DBLP DOI BibTeX RDF |
|
11 | Aida Vitória, Margarida Mamede |
On the Integration of Recursive ALN-Theories. |
AI |
2000 |
DBLP DOI BibTeX RDF |
|
11 | Renate A. Schmidt, Ullrich Hustadt |
A Resolution Decision Procedure for Fluted Logic. |
CADE |
2000 |
DBLP DOI BibTeX RDF |
|
11 | Daniel Tate, Gordon B. Steven, Fleur L. Steven |
Static Scheduling for Out-of-order Instruction Issue Processors. |
ACAC |
2000 |
DBLP DOI BibTeX RDF |
|
11 | Der-Lin Pean, Guan-Joe Lai, Cheng Chen |
An Optimized Dependence Convex Hull Partitioning Technique to Maximize Parallelism of Nested Loops with Non-Uniform Dependences. |
ICPADS |
2000 |
DBLP DOI BibTeX RDF |
non-uniform dependence, dependence convex hull, parallel processing, compilers, parallel compiler, loop parallelization |
11 | Antti Valmari |
Composition and Abstraction. |
MOVEP |
2000 |
DBLP DOI BibTeX RDF |
|
11 | Thomas Eiter, Thomas Lukasiewicz, Michael Walter 0008 |
Extension of the Relational Algebra to Probabilistic Complex Values. |
FoIKS |
2000 |
DBLP DOI BibTeX RDF |
|
11 | Jack L. Lo, Sujay S. Parekh, Susan J. Eggers, Henry M. Levy, Dean M. Tullsen |
Software-Directed Register Deallocation for Simultaneous Multithreaded Processors. |
IEEE Trans. Parallel Distributed Syst. |
1999 |
DBLP DOI BibTeX RDF |
architecture, register file, simultaneous multithreading, Multithreaded architecture |
11 | Lori Carter, Beth Simon, Brad Calder, Larry Carter, Jeanne Ferrante |
Predicated Static Single Assignment. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
Predicated Compiler Analysis, Instruction Scheduling |
11 | Madhavi Gopal Valluri, R. Govindarajan |
Evaluating Register Allocation and Instruction Scheduling Techniques in Out-Of-Order Issue Processors. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
Out-of-order Issue Processors, Instruction-Level Parallelism, Register Allocation, Instruction Scheduling, Integrated Methods |