The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "SBCCI"( http://dblp.L3S.de/Venues/SBCCI )

URL (DBLP): http://dblp.uni-trier.de/db/conf/sbcci

Publication years (Num. hits)
1998 (54) 1999-2000 (64) 2001 (38) 2002 (62) 2003 (59) 2004 (58) 2005 (51) 2006 (48) 2007 (73) 2008 (51) 2009 (55) 2010 (41) 2011 (43) 2012 (36) 2013 (43) 2014 (44) 2015 (44) 2016 (41) 2017 (37) 2018 (46) 2019 (35) 2020 (41) 2022 (48) 2023 (39)
Publication types (Num. hits)
inproceedings(1126) proceedings(25)
Venues (Conferences, Journals, ...)
SBCCI(1151)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 843 occurrences of 474 keywords

Results
Found 1151 publication records. Showing 1151 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Julia Casarin Puget, Guilherme Flach, Marcelo O. Johann, Ricardo Augusto da Luz Reis Jezz: An Effective Legalization Algorithm for Minimum Displacement. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jarbas Silveira, Paulo Cortez 0002, Alan Cadore Pinheiro, Rafael Mota, César A. M. Marcon, Lucas Brahm, Ramon Fernandes Smart Reconfiguration Approach for Fault-Tolerant NoC Based MPSoCs. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pietro Maris Ferreira, Anthony Kolar, Philippe Bénabès Optimization Methodology for a 460-MHz-GBW and 80-dB-SNR Low-Power Current-Mode Amplifier. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andre Luis Rodeghiero Rosa, Leonardo Bandeira Soares, Kleber Hugo Stangherlin, Sergio Bampi Designing CMOS for Near-Threshold Minimum-Energy Operation and Extremely Wide V-F Scaling. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sara Pashmineh, Dirk Killat Design of High-Voltage Level Shifters Based on Stacked Standard Transistors for a Wide Range of Supply Voltages. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rafael Cantalice, Alexandre Simionovski, Fernando Paixão Cortes, Marcelo Lubaszewski Low power, high-sensitivity clock recovery circuit for LF/HF RFID applications. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Thiago R. B. S. Soares, Ivan Saraiva Silva, Sílvio R. F. de Fernandes IPNoSys II: A New Architecture for IPNoSys Programming Model. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wagner Penny, Guilherme Paim, Marcelo Schiavon Porto, Luciano Volcan Agostini, Bruno Zatt Real-Time Architecture for HEVC Motion Compensation Sample Interpolator for UHD Videos. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Abel G. Silva-Filho, L. J. C. Nunes, Henrique F. Lacerda Differential Evolution to Reduce Energy Consumption in Three-Level Memory Hierarchy. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bruno Canal, Cicero S. Nunes, Renato P. Ribas, Eric E. Fabris MCML Gate Design for Standard Cell Library. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hiroyuki Yamauchi, Worawit Somha A Phase Shifting Multiple Filter Design Methodology for Lucy-Richardson Deconvolution of Log-Mixtures Complex RTN Tail Distribution. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Raafat Lababidi, Frédéric Le Roy, D. Le Jeune, Ali Mansour, Julien Lintignat, Ali Louzir Highly integrated Active Dual Response Filter. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dayane Alfenas Reis, Frank Sill Torres A novel methodology for robustness analysis of QCA circuits. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anelise Kologeski, Henrique Colao Zanuz, Fernanda Lima Kastensmidt Latency Improvement with Traffic Flow Analysis in a 3D NoC under Multiple Faulty TSVs Scenario. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Daniel Flórez, Guy Gogniat Reconfigurable Group-Wise Security Architecture for NoC-Based MPSoCs Protection. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marcelo Mandelli, Guilherme M. Castilhos, Gilles Sassatelli, Luciano Ost, Fernando Gehm Moraes A Distributed Energy-aware Task Mapping to Achieve Thermal Balancing and Improve Reliability of Many-core Systems. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Robson Nunes de Lima, Ana Isabela Araújo Cunha, Calvin Plett, Wagner Luiz Alves de Oliveira (eds.) Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, SBCCI 2015, Salvador, Brazil, August 31 - September 4, 2015 Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Fabián Leonardo Cabrera, Fernando Rangel de Sousa A 25-dBm 1-GHz Power Amplifier Integrated in CMOS 180nm for Wireless Power Transferring. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jefferson Capovilla, Mario Lúcio Côrtes, Guido Araujo Improving the Statistical Variability of Delay-based Physical Unclonable Functions. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pedro Toledo, Hamilton Klimach, David Cordova, Sergio Bampi, Eric E. Fabris CMOS Transconductor Analysis for Low Temperature Sensitivity Based on ZTC MOSFET Condition. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Renato Campana V., Hamilton Klimach, Sergio Bampi 0.5 V Supply Resistorless Voltage Reference for Low Voltage Applications. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1David Cordova, Pedro Toledo, Hamilton Klimach, Sergio Bampi, Eric E. Fabris 0.5 V Supply Voltage Reference Based on the MOSFET ZTC Condition. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vladimir Afonso, Henrique Maich, Luan Audibert, Bruno Zatt, Marcelo Schiavon Porto, Luciano Volcan Agostini Memory-Aware and High-Throughput Hardware Design for the HEVC Fractional Motion Estimation. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1André B. M. Gomes, Fredy A. M. Alves, Ricardo S. Ferreira 0001, José Augusto Miranda Nacif Increasing Observability in Post-Silicon Debug Using Asymmetric Omega Networks. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1André F. Ponchet, Ezio M. Bastida, Roberto R. Panepucci, Jacobus W. Swart, Celio Finardi Design and Optimization of High Sensitivity Transimpedance Amplifiers in 130 nm CMOS and BiCMOS Technologies for High Speed Optical Receivers. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Linder Reyes, Fernando Silveira Analysis and Design of a MOS RF Envelope Detector in All Inversion Regions. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michael Dreschmann, Jan Heisswolf, Michael Geiger, Manuel Haußecker, Jürgen Becker 0001 A Framework for Multi-FPGA Interconnection using Multi Gigabit Transceivers. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Antonio Wallace Antunes Soares, Diomadson R. Belfort, Sebastian Yuri Cavalcanti Catunda, Raimundo Carlos Silvério Freire Analysis and System-Level Design of a High Resolution Incremental ΣΔ ADC for Biomedical Applications. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Maicon Schneider Cardoso, Leomar Soares da Rosa Jr., Felipe de Souza Marques Evaluating Geometric Aspects of Non-Series-Parallel Cells. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ricardo A. Guazzelli, Fernando Gehm Moraes, Ney Laert Vilar Calazans, Matheus T. Moreira SDDS-NCL Design: Analysis of Supply Voltage Scaling. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arthur Liraneto Torres Costa, Hamilton Klimach, Sergio Bampi A 2-decades Wideband Low-Noise Amplifier with High Gain and ESD Protection. Search on Bibsonomy SBCCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Viviane Lucy Santos de Souza, Abel G. Silva-Filho MogaMap and DynPack: Multi-Objective Mapping and Packing Algorithms for Optimization of Area, Performance and Power Consumption in FPGAs. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marcio Bender Machado, Mohamad Sawan, Márcio Cherem Schneider, Carlos Galup-Montoro 10 mV: 1V Step-up Converter for Energy Harvesting Applications. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marcelo Ruaro, Everton Alceu Carara, Fernando Gehm Moraes Runtime QoS Support for MPSoC: a Processor Centric Approach. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Luiz Henrique Cancellier, André Beims Bräscher, Ismael Seidel, José Luís Güntzel Energy-Efficient Hadamard-Based SATD Architectures. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Michael Kärgel, Markus Olbrich, Erich Barke Simulation Based Verification with Range Based Signal Representations for Mixed-Signal Systems. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oscar E. Mattia, Hamilton Klimach, Sergio Bampi Sub-1 V Supply Nano-Watt MOSFET-Only Threshold Voltage Extractor Circuit. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Carlos Augusto de Moraes Cruz, Carlos A. dos Reis Filho, Davies William de Lima Monteiro Improved Charge Pump Circuits for Standard CMOS Technologies. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Giuseppe Roa, Tugdual Le Pelleter, Agnès Bonvilain, Alejandro Chagoya, Laurent Fesquet Designing ultra-low power systems with non-uniform sampling and event-driven logic. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Luciano Loder, Adão Antônio de Souza Jr., Marcelo Schiavon Fay, Rafael Soares Towards a Framework to Perform DPA Attack on GALS Pipeline Architectures. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rafael Kioji Vivas Maeda, Frank Sill Torres CLEVER: Cross-Layer Error Verification, Evaluation and Reporting. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Raphael Andreoni Camponogara Viera, César Augusto Prior, Jorge V. de la Cruz, João Baptista dos Santos Martins System-Level Design of a Reconfigurable CT SD Modulator for Multi-Standard Wireless Applications. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eduardo Wächter, Augusto Erichsen, Leonardo Juracy, Alexandre M. Amory, Fernando Gehm Moraes A Fast Runtime Fault Recovery Approach for NoC-Based MPSoCS for Performance Constrained Applications. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gustavo Sanchez, Bruno Zatt, Marcelo Schiavon Porto, Luciano Volcan Agostini A Real-Time 5-Views HD 1080p Architecture for 3D-HEVC Depth Modeling Mode 4. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pedro Toledo, Hamilton Klimach, David Cordova, Sergio Bampi, Eric E. Fabris Self-biased CMOS Current Reference based on the ZTC Operation Condition. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shuo Yang, Robert Wille, Rolf Drechsler Determining Cases of Scenarios to Improve Coverage in Simulation-based Verification. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wilson J. Bortoletto Machado, Calvin Plett Impact of ESD Protection and Power Supply Decoupling on 10 GHz Low Noise Amplifier. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Crystal de Menezes Santos, Abel G. Silva-Filho Bee Colony Algorithm Applied to Memory Architecture Exploration intended for Energy Reduction. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Carlos Moratelli, Samir Zampiva, Fabiano Hessel Full-Virtualization on MIPS-based MPSOCs embedded platforms with real-time support. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andre Aziz, Maria Cireno, Edna Barros, Bruno O. Prado Balanced Prefetching Aggressiveness Controller for NoC-based Multiprocessor. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Elverton C. Fazzion, Osvaldo L. H. M. Fonseca, José Augusto Miranda Nacif, Omar P. Vilela Neto, Antônio Otávio Fernandes, Douglas S. Silva A Quantum-Dot Cellular Automata Processor Design. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vinicius N. Possani, André Inácio Reis, Renato P. Ribas, Felipe S. Marques 0001, Leomar Soares da Rosa Jr. Exploring Independent Gates in FinFET-Based Transistor Network Generation. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1David Cordova, Sergio Bampi, Eric E. Fabris A CMOS Down-Conversion Mixer with High IIP2 and IIP3 for Multi-Band and Multiple Standards. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1André F. Ponchet, Ezio M. Bastida, Roberto R. Panepucci, Stefan Tenenbaum, Jacobus W. Swart SiGe HBT mm-Wave DC Coupled Ultra-wide-band Low Noise Monolithic Amplifiers. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Felipe P. da Silva, Alan Oliveira de Sá, Nadia Nedjah, Luiza de Macedo Mourelle An Efficient Parallel Yet Pipelined Reconfigurable Architecture for M-PLN Weightless Neural Networks. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Edward David Moreno Ordonez, Rodolfo Jardim de Azevedo, Peter R. Kinget (eds.) Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, Aracaju, Brazil, September 1-5, 2014 Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  BibTeX  RDF
1Moacir Fernandes Cortinhas Monteiro, Hamilton Klimach, Sergio Bampi High Linearity and Large Output Swing Sub-Hz Pre-amplifier for Portable Biomedical Applications. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matheus Trevisan, Michel Evandro Arendt, Adriel Ziesemer, Ricardo Augusto da Luz Reis, Ney Laert Vilar Calazans Automated Synthesis of Cell Libraries for Asynchronous Circuits. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tiago S. Curtinhas, Duarte Lopes de Oliveira, Lester de Abreu Faria, Osamu Saotome A novel State Assignment method for Extended Burst-Mode FSM design using Genetic Algorithm. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alisson J. C. Lanot, Tiago R. Balen Reliability Analysis of a 130nm Charge Redistribution SAR ADC under Single Event Effects. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jannis Stoppe, Robert Wille, Rolf Drechsler Validating SystemC Implementations Against Their Formal Specifications. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lívia Amaral, Dieison Silveira, Guilherme Povala, Luciano Volcan Agostini, Marcelo Schiavon Porto, Bruno Zatt A Memory Energy Consumption Analysis of Motion Estimation Algorithms using Data Reuse in Video Coding Systems. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jader A. De Lima A Compact and Power-Efficient CMOS Battery Charger for Implantable Devices. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rolf Drechsler, Hoang Minh Le 0001, Mathias Soeken Self-Verification as the Key Technology for Next Generation Electronic Systems. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jean-Philippe Diguet Self-Adaptive Network On Chips. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Solon J. Spiegel An Overview of Radio Frequency Technologies and Their Influence on the Power Efficiency of Wireless Communication Systems. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alexsandro Cristovão Bonatto, Marcelo Negreiros, Fábio I. Pereira, André Borin Soares, Altamiro Amadeu Susin Adaptive Shared Memory Control for Multimedia Systems-on-Chip. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alfredo Olmos, Juan Pablo Martinez Brito, Fabrício Jorge Antunes Ferreira, Fernando Chávez, Marcelo Soares Lubaszewski A 2-Transistor Sub-1V Low Power Temperature Compensated CMOS Voltage Reference. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jody Maick Matos, Marcus Ritt, Renato P. Ribas, André Inácio Reis Deriving Reduced Transistor Count Circuits from AIGs. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gabriel R. Guerreiro, João Navarro Design for Stability of Active Inductor with Feedback Resistance. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Massimo Alioto, David Esseni Performance and Impact of Process Variations in Tunnel-FET Ultra-Low Voltage Digital Circuits. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ariane Alves Almeida, Carlos H. Llanos, Janier Arias-Garcia, Mauricio Ayala-Rincón Verification of Hardware Implementations through Correctness of their Recursive Definitions in PVS. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1David Cordova, Pedro Toledo, Eric E. Fabris A Low-Voltage Current Reference with High Immunity to EMI. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stephanie Friederich, Jan Heisswolf, Jürgen Becker 0001 Hardware/software debugging of large scale many-core architectures. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jens Spinner, Jürgen Freudenberger Design and Implementation of a Pipelined Decoder for Generalized Concatenated Codes Format. Search on Bibsonomy SBCCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abner Luis Panho Marciano, Andre B. Oliveira, José Augusto Miranda Nacif, Omar P. Vilela Neto An efficient FPGA implementation in quantum-dot cellular automata. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kim A. Escobar, Renato P. Ribas Parallel prefix adder design using quantum-dot cellular automata. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yan Ghidini, Matheus T. Moreira, Lucas Brahm, Thais Webber, Ney Calazans, César A. M. Marcon Lasio 3D NoC vertical links serialization: Evaluation of latency and buffer occupancy. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vinicius Callegaro, Mayler G. A. Martins, Renato P. Ribas, André Inácio Reis Read-polarity-once Boolean functions. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Leandro Nunes, Ricardo Reis 0001 Global routing congestion reduction with cost allocation look-ahead. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Karolinne Brito, Fernando Rangel de Sousa, Victor Ariel Leal Sobral, Robson Nunes de Lima, Raimundo Carlos Silvério Freire A 400 MHz reconfigurable injection-locking based RC oscillator for ASK/FSK modulation. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eder Issao Ishibe, Joao Navarro Soares A CMOS bandgap reference circuit with a temperature coefficient adjustment block. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Felipe S. Marranghello, André Inácio Reis, Renato P. Ribas Delay model for static CMOS complex gates. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tiago Oliveira Weber, Sergio Chaparro, Wilhelmus A. M. Van Noije Synthesis of a narrow-band Low Noise Amplifier in a 180 nm CMOS technology using Simulated Annealing with crossover operator. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kleber Stangherlin, Sergio Bampi Energy-speed exploration for very-wide range of dynamic V-F scaling. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gustavo Campos Martins, Fernando Rangel de Sousa An RF-powered temperature sensor designed for biomedical applications. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jan Heisswolf, Simon Bischof, Michael Rückauer, Jürgen Becker 0001 Efficient memory access in 2D Mesh NoC architectures using high bandwidth routers. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Julian J. H. Pontes, Ney Calazans, Pascal Vivet H2A: A hardened asynchronous network on chip. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Fabricio G. S. Silva, Robson Nunes de Lima, Raimundo C. S. Freire A 433/915-MHz class AB discrete power amplifier based on multiresonant circuits. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Joachim Meyer 0001, Michael Dreschmann, Djorn Karnick, Philipp C. Schindler, Wolfgang Freude, Juerg Leuthold, Jürgen Becker 0001 A novel system on chip for software-defined, high-speed OFDM signal processing. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Augusto Neutzling, Mayler G. A. Martins, Renato P. Ribas, André Inácio Reis Synthesis of threshold logic gates to nanoelectronics. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alberto Wiltgen, Kim A. Escobar, André Inácio Reis, Renato P. Ribas Power consumption analysis in static CMOS gates. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1André Luiz Aita, Cesar Ramos Rodrigues PTAT CMOS current sources mismatch over temperature. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Edson Sorato, Eduardo P. Fronza, Paulo R. F. M. M. Barbosa, José Luís Almada Güntzel, Adalbery R. Castro, Aldebaro Klautau Real-time digital modulation classification based on Support Vector Machines. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jose Luis Garcia-Gervacio, Agustín Leobardo Herrera-May, Gregorio Zamora-Mejía, Jaime Martínez-Castillo, Alejandro Díaz-Sánchez Voltage Regulation System for UHF RFID Tags. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Guilherme H. K. Martini, João Alberto Fabro Hybrid filter for high-power converter systems. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum Security-enhanced 3D communication structure for dynamic 3D-MPSoCs protection. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eduardo A. C. da Costa, Sérgio J. M. de Almeida, Monica Matzenauer Gray encoded fixed-point LMS adaptive filter architecture for the harmonics power line interference cancelling. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 26th Symposium on Integrated Circuits and Systems Design, SBCCI 2013, Curitiba, Brazil, September 2-6, 2013 Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  BibTeX  RDF
1Wanderson Roger Azevedo Dias, Edward David Moreno, Isaac Nattan Palmeira A new code compression algorithm and its decompressor in FPGA-based hardware. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1151 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license