The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SMT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1998 (18) 1999-2000 (15) 2001 (15) 2002 (19) 2003 (21) 2004 (51) 2005 (67) 2006 (79) 2007 (99) 2008 (112) 2009 (144) 2010 (101) 2011 (99) 2012 (145) 2013 (102) 2014 (143) 2015 (126) 2016 (153) 2017 (116) 2018 (86) 2019 (91) 2020 (98) 2021 (101) 2022 (91) 2023 (100) 2024 (17)
Publication types (Num. hits)
article(453) incollection(6) inproceedings(1704) phdthesis(36) proceedings(10)
Venues (Conferences, Journals, ...)
CoRR(146) SMT(71) EAMT(40) FMCAD(38) CAV(33) CADE(28) SMT@IJCAR(26) IPDPS(21) AMTA(20) IWSLT(20) SAT(20) CAV (2)(19) HPCA(19) MTSummit(18) DATE(16) ATVA(15) More (+10 of total 752)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 542 occurrences of 335 keywords

Results
Found 2210 publication records. Showing 2209 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Daniel El Ouraoui Méthodes pour le raisonnement d'ordre supérieur dans SMT. (Methods for Higher-Order reasoning in SMT). Search on Bibsonomy 2021   RDF
25Makai Mann, Amalee Wilson, Cesare Tinelli, Clark W. Barrett Smt-Switch: a solver-agnostic C++ API for SMT Solving. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
25Xiaohui Wu, Yeping He, Qiming Zhou, Hengtai Ma, Liang He, Wenhao Wang 0001, Liheng Chen Partial-SMT: Core-scheduling Protection Against SMT Contention-based Attacks. Search on Bibsonomy TrustCom The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Saket Dingliwal, Ronak Agarwal, Happy Mittal, Parag Singla CVC4-SymBreak: Derived SMT solver at SMT Competition 2019. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
25Daniel Townley, Dmitry Ponomarev 0001 SMT-COP: Defeating Side-Channel Attacks on Execution Units in SMT Processors. Search on Bibsonomy PACT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
25Albin Coquereau [ErgoFast] Amélioration de performances du solveur SMT Alt-Ergo grâce à l'intégration d'un solveur SAT efficace. ([ErgoFast] Improving performance of the SMT solver Alt-Ergo with a better integration of efficient SAT solver). Search on Bibsonomy 2019   RDF
25Guillaume Bury Integrating rewriting, tableau and superposition into SMT. (Intégrer la réecriture, la méthode des tableaux et la superposition dans les solveurs SMT). Search on Bibsonomy 2019   RDF
25Samuel Kolb, Stefano Teso, Andrea Passerini, Luc De Raedt Learning SMT(LRA) Constraints using SMT Solvers. Search on Bibsonomy IJCAI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Haniel Barbosa New techniques for instantiation and proof production in SMT solving. (Nouvelles techniques pour l'instanciation et la production des preuves dans SMT). Search on Bibsonomy 2017   RDF
25Emilien Kofman Low power application architecture adaptation using SMT solvers. (Adéquation algorithme architecture automatisée par solveur SMT). Search on Bibsonomy 2017   RDF
25David R. Cok, Aaron Stump, Tjark Weber The 2013 Evaluation of SMT-COMP and SMT-LIB. Search on Bibsonomy J. Autom. Reason. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Florian Corzilius, Gereon Kremer, Sebastian Junges, Stefan Schupp, Erika Ábrahám SMT-RAT: An Open Source C++ Toolbox for Strategic and Parallel SMT Solving. Search on Bibsonomy SAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yidong Chen, Ling Xiao Wang, Christian Boitet, Xiaodong Shi On-going Cooperative Research towards Developing Economy-Oriented Chinese-French SMT Systems with a New SMT Framework. Search on Bibsonomy TALN (2) The full citation details ... 2014 DBLP  BibTeX  RDF
25Pranav Tendulkar Mapping and Scheduling on Multi-core Processors using SMT Solvers. (placement et ordonnancement sur les processeurs multi-core en utilisant un solveur SMT). Search on Bibsonomy 2014   RDF
25Mohamed Iguernelala Strengthening the heart of an SMT-solver : Design and implementation of efficient decision procedures. (Renforcement du noyau d'un démonstrateur SMT : Conception et implantation de procédures de décisions efficaces). Search on Bibsonomy 2013   RDF
25Florian Corzilius, Ulrich Loup, Sebastian Junges, Erika Ábrahám SMT-RAT: An SMT-Compliant Nonlinear Real Arithmetic Toolbox - (Tool Presentation). Search on Bibsonomy SAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Andrew D. Hilton, Amir Roth SMT-Directory: Efficient Load-Load Ordering for SMT. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Clark W. Barrett, Leonardo Mendonça de Moura, Silvio Ranise, Aaron Stump, Cesare Tinelli The SMT-LIB Initiative and the Rise of SMT - (HVC 2010 Award Talk). Search on Bibsonomy Haifa Verification Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Josep Maria Crego, Aurélien Max, François Yvon Local lexical adaptation in Machine Translation through triangulation: SMT helping SMT. Search on Bibsonomy COLING The full citation details ... 2010 DBLP  BibTeX  RDF
24Joseph Scott, Guanting Pan, Elias B. Khalil, Vijay Ganesh Goose: A Meta-Solver for Deep Neural Network Verification. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
24Alessandro Cimatti, Alberto Griggio, Stefano Tonetta The VMT-LIB Language and Tools. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
24Lucas Clemente Vella, Leonardo Alt On Satisfiability of Polynomial Equations over Large Prime Fields. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
24Maria Paola Bonacina, Stéphane Graham-Lengrand, Natarajan Shankar CDSAT for Nondisjoint Theories with Shared Predicates: Arrays With Abstract Length. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
24David Déharbe, Antti E. J. Hyvärinen (eds.) Proceedings of the 20th Internal Workshop on Satisfiability Modulo Theories co-located with the 11th International Joint Conference on Automated Reasoning (IJCAR 2022) part of the 8th Federated Logic Conference (FLoC 2022), Haifa, Israel, August 11-12, 2022. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
24Aina Niemetz Invited Talk: Local Search for Bit-Precise Reasoning and Beyond. Search on Bibsonomy SMT The full citation details ... 2022 DBLP  BibTeX  RDF
24Hans-Jörg Schurr, Mathias Fleury, Martin Desharnais Abstract: Reliable Reconstruction of Fine-Grained Proofs in a Proof Assistant. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
24Elisabeth Henkel, Jochen Hoenicke, Tanja Schindler Proof Tree Preserving Sequence Interpolation of Quantified Formulas in the Theory of Equality. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
24Chad E. Brown, Mikolás Janota First-Order Instantiation using Discriminating Terms. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
24François Bobot, Tjark Weber (eds.) Proceedings of the 18th International Workshop on Satisfiability Modulo Theories co-located with the 10th International Joint Conference on Automated Reasoning (IJCAR 2020), Online (initially located in Paris, France), July 5-6, 2020. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
24Alexander Nadel, Aina Niemetz (eds.) Proceedings of the 19th International Workshop on Satisfiability Modulo Theories co-located with 33rd International Conference on Computer Aided Verification(CAV 2021), Online (initially located in Los Angeles, USA), July 18-19, 2021. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
24José Abel Castellanos Joo, Silvio Ghilardi, Alessandro Gianola, Deepak Kapur AXDInterpolator: A Tool for Computing Interpolants for Arrays with MaxDiff. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
24Fabian Zaiser, Luke Ong Abstract: The Extended Theory of Trees and Algebraic (Co)datatypes. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
24Karem A. Sakallah Invited Talk: AVR: Word-Level Verification by Equality Abstraction of Data State. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
24Martin Brain Further Steps Down The Wrong Path: Improving the Bit-Blasting of Multiplication. Search on Bibsonomy SMT The full citation details ... 2021 DBLP  BibTeX  RDF
24Bruno Dutertre An Empirical Evaluation of SAT Solvers on Bit-vector Problems. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
24Philipp Rümmer Invited Talk: Solving String Constraints, Starting from the Beginning and from the End. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
24Sophie Tourret, Pascal Fontaine, Daniel El Ouraoui, Haniel Barbosa Lifting Congruence Closure with Free Variables to λ-free Higher-order Logic via SAT Encoding. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
24Seonmo Kim, Stephen McCamant Structural Bit-vector Model Counting. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
24Chaitanya Mangla, Sean B. Holden, Lawrence C. Paulson Bayesian Optimisation of Solver Parameters in CBMC. Search on Bibsonomy SMT The full citation details ... 2020 DBLP  BibTeX  RDF
24Dejan Jovanovic, Bruno Dutertre LibPoly: A Library for Reasoning about Polynomials. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
24Yakir Vizel, Alexander Nadel, Sharad Malik Solving Constraints over Bit-Vectors with SAT-based Model Checking. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
24François Bobot, Zakaria Chihani, Bruno Marre Real Behavior of Floating Point. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
24Andrew Reynolds 0001 Quantifier Instantiation Beyond E-Matching. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
24Gergely Kovásznai, Csaba Biró, Balazs Erdelyi Generating Optimal Scheduling for Wireless Sensor Networks by Using Optimization Modulo Theories Solvers. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
24Martin Brain, Liana Hadarean (eds.) Proceedings of the 15th International Workshop on Satisfiability Modulo Theories affiliated with the International Conference on Computer-Aided Verification (CAV 2017), Heidelberg, Germany, July 22 - 23, 2017. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
24Jochen Hoenicke, Tanja Schindler Efficient Interpolation for the Theory of Arrays. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
24Stéphane Graham-Lengrand, Dejan Jovanovic An MCSAT treatment of Bit-Vectors. Search on Bibsonomy SMT The full citation details ... 2017 DBLP  BibTeX  RDF
23Karthik Visweswariah, Ananthakrishnan Ramanathan, Mitesh M. Khapra (eds.) Proceedings of the Workshop on Reordering for Statistical Machine Translation@COLING 2012, Mumbai, India, December 9, 2012 Search on Bibsonomy SMT@COLING The full citation details ... 2012 DBLP  BibTeX  RDF
23Jacob Dlougach, Irina Galinskaya Building a reordering system using tree-to-string hierarchical model. Search on Bibsonomy SMT@COLING The full citation details ... 2012 DBLP  BibTeX  RDF
23Anoop Kunchukuttan, Pushpak Bhattacharyya Partially modelling word reordering as a sequence labelling problem. Search on Bibsonomy SMT@COLING The full citation details ... 2012 DBLP  BibTeX  RDF
23Mitesh M. Khapra, Ananthakrishnan Ramanathan, Karthik Visweswariah Report of the Shared Task on Learning Reordering from Word Alignments at RSMT 2012. Search on Bibsonomy SMT@COLING The full citation details ... 2012 DBLP  BibTeX  RDF
23Mitesh M. Khapra, Ananthakrishnan Ramanathan, Karthik Visweswariah Whitepaper for Shared Task on Learning Reordering from Word Alignments at RSMT 2012. Search on Bibsonomy SMT@COLING The full citation details ... 2012 DBLP  BibTeX  RDF
22Alessandro Armando, Jacopo Mantovani, Lorenzo Platania Bounded model checking of software using SMT solvers instead of SAT solvers. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Shuvendu K. Lahiri, Shaz Qadeer, Zvonimir Rakamaric Static and Precise Detection of Concurrency Errors in Systems Code Using SMT Solvers. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Christoph M. Wintersteiger, Youssef Hamadi, Leonardo Mendonça de Moura A Concurrent Portfolio Approach to SMT Solving. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Saurabh Srivastava 0001, Sumit Gulwani, Jeffrey S. Foster VS3: SMT Solvers for Program Verification. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Wolfgang Grieskamp, Xiao Qu, Xiangjun Wei, Nicolas Kicillof, Myra B. Cohen Interaction Coverage Meets Path Coverage by SMT Constraint Solving. Search on Bibsonomy TestCom/FATES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001 Paired ROBs: A Cost-Effective Reorder Buffer Sharing Strategy for SMT Processors. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Thomas Bouton, Diego Caminha Barbosa De Oliveira, David Déharbe, Pascal Fontaine veriT: An Open, Trustable and Efficient SMT-Solver. Search on Bibsonomy CADE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Qing Chen, Tianshun Yao Flattened Syntactical Phrase-Based Translation Model for SMT. Search on Bibsonomy ICCPOL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flattened syntactical phrase, synchronous grammar, syntactical structure
22Jörg Mische, Sascha Uhrig, Florian Kluge, Theo Ungerer IPC Control for Multiple Real-Time Threads on an In-Order SMT Processor. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Huaping Wang, Israel Koren, C. Mani Krishna 0001 An adaptive resource partitioning algorithm for SMT processors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simultaneous multithreading, resource partitioning
22Xin Fu, Tao Li, José A. B. Fortes Combined circuit and microarchitecture techniques for effective soft error robustness in SMT processors. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Malay K. Ganai, Aarti Gupta Completeness in SMT-based BMC for Software Programs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Carlos Boneti, Francisco J. Cazorla, Roberto Gioiosa, Mateo Valero Soft Real-Time Scheduling on SMT Processors with Explicit Resource Allocation. Search on Bibsonomy ARCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Christopher Lynch, Yuefeng Tang Interpolants for Linear Arithmetic in SMT. Search on Bibsonomy ATVA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Malay K. Ganai, Weihong Li d-TSR: Parallelizing SMT-Based BMC Using Tunnels over a Distributed Framework. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Jörg Mische, Sascha Uhrig, Florian Kluge, Theo Ungerer Exploiting spare resources of in-order SMT processors executing hard real-time threads. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Mingxuan Yuan, Xiuqiang He 0001, Zonghua Gu 0001 Hardware/Software Partitioning and Static Task Scheduling on Runtime Reconfigurable FPGAs using a SMT Solver. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Margus Veanes, Nikolaj S. Bjørner, Alexander Raschke An SMT Approach to Bounded Reachability Analysis of Model Programs. Search on Bibsonomy FORTE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22George Hagen, Cesare Tinelli Scaling Up the Formal Verification of Lustre Programs with SMT-Based Techniques. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Jason Loew, Dmitry Ponomarev 0001 Two-Level Reorder Buffers: Accelerating Memory-Bound Applications on SMT Architectures. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Sonia López, Steven G. Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares Rate-Driven Control of Resizable Caches for Highly Threaded SMT Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ethan Schuchman, T. N. Vijaykumar BlackJack: Hard Error Detection with Redundant Threads on SMT. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Francisco J. Cazorla, Enrique Fernández, Peter M. W. Knijnenburg, Alex Ramírez, Rizos Sakellariou, Mateo Valero On the Problem of Minimizing Workload Execution Time in SMT Processors. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Roberto Bruttomesso, Alessandro Cimatti, Anders Franzén, Alberto Griggio, Ziyad Hanna, Alexander Nadel, Amit Palti, Roberto Sebastiani A Lazy and Layered SMT($\mathcal{BV}$) Solver for Hard Industrial Verification Problems. Search on Bibsonomy CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Emre Özer 0001, Stuart Biles Thread Priority-Aware Random Replacement in TLBs for a High-Performance Real-Time SMT Processor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Joseph J. Sharkey, Deniz Balkan, Dmitry Ponomarev 0001 Adaptive reorder buffers for SMT processors. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simultaneous multithreading, reorder buffer
22Shuvendu K. Lahiri, Robert Nieuwenhuis, Albert Oliveras SMT Techniques for Fast Predicate Abstraction. Search on Bibsonomy CAV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Shi-Wu Lo Data sharing protocols for SMT processors. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, real-time, simultaneously multithreading
22Alessandro Armando, Jacopo Mantovani, Lorenzo Platania Bounded Model Checking of Software Using SMT Solvers Instead of SAT Solvers. Search on Bibsonomy SPIN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Caixia Sun, Hong-Wei Tang, Minxuan Zhang Enhancing ICOUNT2.8 Fetch Policy with Better Fairness for SMT Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Wei Huang 0032, Jiang Lin, Zhao Zhang 0010, J. Morris Chang Towards Pairing Java Applications on SMT Processors. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Shinpei Kato, Hidenori Kobayashi, Nobuyuki Yamasaki U-Link Scheduling: Bounding Execution Time of Real-Time Tasks with Multi-Case Execution Time on SMT Processors. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Evangelia Athanasaki, Kornilios Kourtis, Nikos Anastopoulos, Nectarios Koziris Tuning Blocked Array Layouts to Exploit Memory Hierarchy in SMT Architectures. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Hua Yang, Gang Cui, Xiaozong Yang Eliminating Inter-Thread Interference in Register File for SMT Processors. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Mladen Berekovic, Sören Moch, Peter Pirsch A scalable, clustered SMT processor for digital signal processing. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Francisco J. Cazorla, Alex Ramírez, Mateo Valero, Enrique Fernández DCache Warn: An I-Fetch Policy to Increase SMT Efficiency. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Swarat Chaudhuri, Sumit Gulwani, Roberto Lublinerman Continuity analysis of programs. Search on Bibsonomy POPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF stability, robustness, uncertainty, program analysis, continuity, errors, sensitivity, perturbations, proof rules
19Patrice Godefroid, Johannes Kinder Proving memory safety of floating-point computations by combining static and dynamic program analysis. Search on Bibsonomy ISSTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF static and dynamic program analysis, program verification
19Petar Radojkovic, Vladimir Cakarevic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Thread to strand binding of parallel network applications in massive multi-threaded systems. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ultrasparc t2, simultaneous multithreading, process scheduling, cmt
19Swen Jacobs Incremental Instance Generation in Local Reasoning. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yeting Ge, Leonardo Mendonça de Moura Complete Instantiation for Quantified Formulas in Satisfiabiliby Modulo Theories. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Tomi Janhunen, Ilkka Niemelä, Mark Sevalnev Computing Stable Models via Reductions to Difference Logic. Search on Bibsonomy LPNMR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Pradipta De, Vijay Mann, Umang Mittaly Handling OS jitter on multicore multithreaded systems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Jason Belt, Robby, Xianghua Deng Sireum/Topi LDP: a lightweight semi-decision procedure for optimizing symbolic execution-based analyses. Search on Bibsonomy ESEC/SIGSOFT FSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF program analysis, symbolic execution, decision procedure
19Amit Goel, Sava Krstic, Cesare Tinelli Ground Interpolation for Combined Theories. Search on Bibsonomy CADE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Feifei Ma, Sheng Liu, Jian Zhang 0001 Volume Computation for Boolean Combination of Linear Arithmetic Constraints. Search on Bibsonomy CADE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Konstantin Korovin Instantiation-Based Automated Reasoning: From Theory to Practice. Search on Bibsonomy CADE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Maria Paola Bonacina, Christopher Lynch, Leonardo Mendonça de Moura On Deciding Satisfiability by DPLL(G+T) and Unsound Theorem Proving. Search on Bibsonomy CADE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2209 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license