The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase clock-gating (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2000 (19) 2001-2002 (15) 2003 (16) 2004 (19) 2005 (20) 2006 (23) 2007 (24) 2008 (24) 2009 (29) 2010 (18) 2011-2012 (27) 2013 (15) 2014-2015 (17) 2016-2018 (18) 2019-2021 (19) 2022-2023 (15) 2024 (1)
Publication types (Num. hits)
article(80) inproceedings(239)
Venues (Conferences, Journals, ...)
ISLPED(22) DAC(15) ISCAS(15) PATMOS(14) ASP-DAC(10) DATE(10) IEEE Trans. Very Large Scale I...(10) IEEE Trans. Comput. Aided Des....(9) VLSI Design(9) ICCD(8) HPCA(6) ICCAD(6) ISQED(6) ISVLSI(6) DSD(5) ISCAS (2)(5) More (+10 of total 119)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 239 occurrences of 145 keywords

Results
Found 319 publication records. Showing 319 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Pietro Babighian, Luca Benini, Enrico Macii A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Cao Cao, Bengt Oelmann Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Ruchira Sasanka, Sarita V. Adve, Yen-Kuang Chen, Eric Debes The energy efficiency of CMP vs. SMT for multimedia workloads. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multimedia, energy efficiency, CMP, SMT
14Kim M. Hazelwood, David M. Brooks Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF voltage emergencies, power-aware computing, hardware-software co-design, dI/dt
14Tilman Glökler, Andreas Hoffmann 0002, Heinrich Meyr Methodical Low-Power ASIP Design Space Exploration. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ICORE, low power, ASIP, application-specific instruction set processor, low energy, LISA
14Arindam Mukherjee 0001, Malgorzata Marek-Sadowska Clock and Power Gating with Timing Closure. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Alex K. Jones, Prithviraj Banerjee An automated and power-aware framework for utilization of IP cores in hardware generated from C descriptions targeting FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Allan Hartstein, Thomas R. Puzak Optimum Power/Performance Pipeline Depth. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Russ Joseph, David M. Brooks, Margaret Martonosi Control Techniques to Eliminate Voltage Emergencies in High Performance Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Sergio Saponara, Luca Fanucci, Luca Serafini Low-Power FFT/IFFT VLSI Macro Cell for Scalable Broadband VDSL Modem. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Luca Benini, Alberto Macii, Enrico Macii, Elvira Omerbegovic, Fabrizio Pro, Massimo Poncino Energy-aware design techniques for differential power analysis protection. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power design, differential power analysis
14Hongbo Yang, Guang R. Gao, Clement Leung On achieving balanced power consumption in software pipelined loops. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power-aware compilation, instruction level parallelism, software pipelining
14Victor V. Zyuban, Philip N. Strenski Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hardware intensity, energy efficiency, metric, power, energy
14Ad M. G. Peeters, Kees van Berkel 0001 Synchronous Handshake Circuits. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Tao Li, Chen Ding Instruction Balance and Its Relation to Program Energy Consumption. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Meng Tong Tan, Joseph Sylvester Chang, Yit Chow Tong A novel low-voltage low-power wave digital filter bank for an intelligent noise reduction digital hearing instrument. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14David M. Brooks, Pradip Bose, Stanley Schuster, Hans M. Jacobson, Prabhakar Kudva, Alper Buyuktosunoglu, John-David Wellman, Victor V. Zyuban, Manish Gupta, Peter W. Cook Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14David M. Brooks, Margaret Martonosi Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Uzi Zangi, Ran Ginosar A low power video processor. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #319 of 319 (100 per page; Change: )
Pages: [<<][1][2][3][4]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license