The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for datapath with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (20) 1991-1993 (19) 1994-1995 (35) 1996 (22) 1997 (24) 1998 (29) 1999 (34) 2000 (59) 2001 (31) 2002 (51) 2003 (76) 2004 (65) 2005 (65) 2006 (68) 2007 (72) 2008 (69) 2009 (39) 2010 (22) 2011 (15) 2012 (15) 2013 (17) 2014 (23) 2015 (21) 2016 (21) 2017 (15) 2018-2019 (27) 2020-2021 (18) 2022-2023 (31) 2024 (5)
Publication types (Num. hits)
article(262) book(1) inproceedings(738) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 689 occurrences of 454 keywords

Results
Found 1008 publication records. Showing 1008 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Klaus Schneider 0001, Anoop Bhagyanath, Julius Roob Code generation criteria for buffered exposed datapath architectures from dataflow graphs. Search on Bibsonomy LCTES The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Anoop Bhagyanath, Klaus Schneider 0001 Buffer Allocation for Exposed Datapath Architectures. Search on Bibsonomy MCSoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Armand Behroozi, Sunghyun Park 0004, Scott A. Mahlke Loner: utilizing the CPU vector datapath to process scalar integer data. Search on Bibsonomy CC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Klaus Schneider 0001, Anoop Bhagyanath, Julius Roob Virtual Buffers for Exposed Datapath Architectures. Search on Bibsonomy MBMV The full citation details ... 2022 DBLP  BibTeX  RDF
17Junxue Zhang 0001, Chaoliang Zeng, Hong Zhang 0025, Shuihai Hu, Kai Chen 0005 LiteFlow: towards high-performance adaptive neural networks for kernel datapath. Search on Bibsonomy SIGCOMM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Samuel Coward, George A. Constantinides, Theo Drane Automatic Datapath Optimization using E-Graphs. Search on Bibsonomy ARITH The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Tomasz Osinski, Jan Palimaka, Mateusz Kossakowski, Frédéric Dang Tran, El-Fadel Bonfoh, Halina Tarasiuk A novel programmable software datapath for software-defined networking. Search on Bibsonomy CoNEXT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jun-Seok Park, Changsoo Park, Suknam Kwon, Hyeong-Seok Kim, Taeho Jeon, Yesung Kang, Heonsoo Lee, Dongwoo Lee, James Kim, YoungJong Lee, Sangkyu Park, Jun-Woo Jang, Sanghyuck Ha, MinSeong Kim, Jihoon Bang, Sukhwan Lim, Inyup Kang A Multi-Mode 8K-MAC HW-Utilization-Aware Neural Processing Unit with a Unified Multi-Precision Datapath in 4nm Flagship Mobile SoC. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Haiyang Lin, Mingyu Yan, Duo Wang, Mo Zou, Fengbin Tu, Xiaochun Ye, Dongrui Fan, Yuan Xie 0001 Alleviating datapath conflicts and design centralization in graph analytics acceleration. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17MohammadReza Taheri, Amir Sabbagh Molahosseini, Keivan Navi Efficient Incorporation of the RNS Datapath in Reverse Converter. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Paulo C. Santos 0001, João Paulo Cardoso de Lima, Rafael Fão de Moura, Marco A. Z. Alves, Antonio C. S. Beck, Luigi Carro Enabling Near-Data Accelerators Adoption by Through Investigation of Datapath Solutions. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Daniele Passaretti, Thilo Pionteck Configurable Pipelined Datapath for Data Acquisition in Interventional Computed Tomography. Search on Bibsonomy FCCM The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Irene Zhang, Amanda Raybuck, Pratyush Patel, Kirk Olynyk, Jacob Nelson 0001, Omar S. Navarro Leija, Ashlie Martinez, Jing Liu, Anna Kornfeld Simpson, Sujay Jayakar, Pedro Henrique Penna, Max Demoulin, Piali Choudhury, Anirudh Badam The Demikernel Datapath OS Architecture for Microsecond-scale Datacenter Systems. Search on Bibsonomy SOSP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Mehmet Kayaalp 0001 Using Logisim-evolution for Teaching Datapath and Control. Search on Bibsonomy WCAE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Vijay Dahiphale, Gaurav Bansod, Ankur Zambare, Narayan Pisharoty Design and implementation of various datapath architectures for the ANU lightweight cipher on an FPGA. Search on Bibsonomy Frontiers Inf. Technol. Electron. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Siva Nishok Dhanuskodi, Samuel Allen, Daniel E. Holcomb Efficient Register Renaming Architectures for 8-bit AES Datapath at 0.55 pJ/bit in 16-nm FinFET. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Rei Ueno, Naofumi Homma, Sumio Morioka, Noriyuki Miura, Kohei Matsuda, Makoto Nagata, Shivam Bhasin, Yves Mathieu, Tarik Graba, Jean-Luc Danger High Throughput/Gate AES Hardware Architectures Based on Datapath Compression. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Shathanaa Rajmohan, Ramasubramanian Natarajan A Memetic Algorithm-Based Design Space Exploration for Datapath Resource Allocation During High-Level Synthesis. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Qi Nie, Sharad Malik MemFlow: Memory-Driven Data Scheduling With Datapath Co-Design in Accelerators for Large-Scale Inference Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Gopinath Mahale, Pramod P. Udupa, Kiran Kolar Chandrasekharan, Sehwan Lee WinDConv: A Fused Datapath CNN Accelerator for Power-Efficient Edge Devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Shilpa Pendyala, Sheikh Ariful Islam, Srinivas Katkoori Interval Arithmetic and Self-Similarity Based RTL Input Vector Control for Datapath Leakage Minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Shahzad, Eun-Sung Jung Flexible IoT Datapath Programming using P4. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Marc Dahlem, Klaus Schneider 0001 Compiling synchronous languages to optimal move code for exposed datapath architectures. Search on Bibsonomy SCOPES The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Jiun Hong, TaeGeon Lee, Saad Arslan, HyungWon Kim 0001 Compact CNN Training Accelerator with Variable Floating-Point Datapath. Search on Bibsonomy ISOCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Junghoon Cho, Junhyun Song, Jongsun Park 0001 Implementation of Low Cost ARIA Architecture with Composite Field Optimization and Datapath Modification. Search on Bibsonomy ISOCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17David Langerman, Alex Johnson, Kyle Buettner, Alan D. George Beyond Floating-Point Ops: CNN Performance Prediction with Critical Datapath Length. Search on Bibsonomy HPEC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Nusa Zidaric Automated Design Space Exploration and Datapath Synthesis for Finite Field Arithmetic with Applications to Lightweight Cryptography. Search on Bibsonomy 2020   RDF
17Vijay Dahiphale, Hrishikesh Raut, Gaurav Bansod Design and Implementation of novel datapath designs of lightweight cipher RECTANGLE for resource constrained environment. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Yuki Taguchi, Ryota Kawashima, Hiroki Nakayama, Tsunemasa Hayashi, Hiroshi Matsuo Fast Datapath Processing Based on Hop-by-Hop Packet Aggregation for Service Function Chaining. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Yu Wang 0136, Donghoon Yeo, Hyunchul Shin Effective datapath logic extraction techniques using connection vectors. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Utkarsh Gupta, Priyank Kalla, Vikas Rao Boolean Gröbner Basis Reductions on Finite Field Datapath Circuits Using the Unate Cube Set Algebra. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Mahmood Fazlali, Mohammad K. Fallah, Naemeh Hosseinpour, Ali Katanforoush Accelerating datapath merging by task parallelisation on multicore systems. Search on Bibsonomy Int. J. Parallel Emergent Distributed Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Shathanaa Rajmohan, Ramasubramanian Natarajan Group influence based improved firefly algorithm for Design Space Exploration of Datapath resource allocation. Search on Bibsonomy Appl. Intell. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Ho Keun Kim, Myung Hoon Sunwoo Low Power AES Using 8-Bit and 32-Bit Datapath Optimization for Small Internet-of-Things (IoT). Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Alex Hirvonen, Kati Tervo, Heikki Kultala, Pekka Jääskeläinen AEx: Automated Customization of Exposed Datapath Soft-Cores. Search on Bibsonomy DSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Kanishkan Vadivel, Roel Jordans, Sander Stuijk, Henk Corporaal, Pekka Jääskeläinen, Heikki Kultala Towards Efficient Code Generation for Exposed Datapath Architectures. Search on Bibsonomy SCOPES The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Markus Anders, Klaus Schneider 0001 A Formal Semantics of Exposed Datapath Architectures with Buffered Processing Units. Search on Bibsonomy ACSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Vikram B. Suresh, Sudhir Satpathy, Raghavan Kumar, Mark A. Anders 0001, Himanshu Kaul, Amit Agarwal 0001, Steven Hsu, Ram Krishnamurthy 0001, Vivek De, Sanu Mathew A 250Mv, 0.063J/Ghash Bitcoin Mining Engine in 14nm CMOS Featuring Dual-Vcc Sha256 Datapath and 3-Phase Latch Based Clocking. Search on Bibsonomy VLSI Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Qinhao Wang, Amir Masoud Gharehbaghi, Takeshi Matsumoto, Masahiro Fujita High-Level Engineering Change Through Programmable Datapath and SMT Solvers. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Pratik Pachange, Gaurav Bansod A Fast and Efficient Datapath Designs of Lightweight Cipher RoadRunneR on FPGA's for Resource Constrained Environments. Search on Bibsonomy IoTSMS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Anirban Sengupta, Deepak Kachave Spatial and Temporal Redundancy for Transient Fault-Tolerant Datapath. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Marc Dahlem, Anoop Bhagyanath, Klaus Schneider 0001 Optimal Scheduling for Exposed Datapath Architectures with Buffered Processing Units by ASP. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
17Piotr Patronik, Stanislaw J. Piestrak Design of RNS Reverse Converters with Constant Shifting to Residue Datapath Channels. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Marc Dahlem, Anoop Bhagyanath, Klaus Schneider 0001 Optimal Scheduling for Exposed Datapath Architectures with Buffered Processing Units by ASP. Search on Bibsonomy Theory Pract. Log. Program. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Avinash Raikwar, Amit Kumar Mishra Datapath Integrated Mapping in BTS Interconnects. Search on Bibsonomy MWSCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Qi Nie, Sharad Malik MemFlow: Memory-driven data scheduling with datapath co-design in accelerators for large-scale inference applications. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Markus Anders, Anoop Bhagyanath, Klaus Schneider 0001 On Memory Optimal Code Generation for Exposed Datapath Architectures with Buffered Processing Units. Search on Bibsonomy ACSD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Makoto Saitoh, Elsayed A. Elsayed, Thiem Van Chu, Susumu Mashimo, Kenji Kise A High-Performance and Cost-Effective Hardware Merge Sorter without Feedback Datapath. Search on Bibsonomy FCCM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Mark A. Anders 0001, Himanshu Kaul, Sanu Mathew, Vikram B. Suresh, Sudhir Satpathy, Amit Agarwal 0001, Steven Hsu, Ram Krishnamurthy 0001 2.9TOPS/W Reconfigurable Dense/Sparse Matrix-Multiply Accelerator with Unified INT8/INTI6/FP16 Datapath in 14NM Tri-Gate CMOS. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Jiaming Xu, Ao Fan, Minyi Lu, Weiwei Shan Differential Power Analysis of 8-Bit Datapath AES for IoT Applications. Search on Bibsonomy TrustCom/BigDataSE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Minyi Lu, Ao Fan, Jiaming Xu, Weiwei Shan A Compact, Lightweight and Low-Cost 8-Bit Datapath AES Circuit for IoT Applications in 28nm CMOS. Search on Bibsonomy TrustCom/BigDataSE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Arman Roohi, Ramtin Zand, Ronald F. DeMara Logic-Encrypted Synthesis for Energy-Harvesting-Powered Spintronic-Embedded Datapath Design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Qiao Xiang, Franck Le, Yeon-Sup Lim, Vinod K. Mishra, Christopher Williams 0001, Yang Richard Yang, Hongwei Zhang OpenSDC: A Novel, Generic Datapath for Software Defined Coalitions. Search on Bibsonomy MILCOM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Ting Lin, Shan Cao, Shunqing Zhang, Shugong Xu A Unified Reconfigurable Datapath for 5G Compatible LDPC Decoding. Search on Bibsonomy APCCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Duy-Hieu Bui, Diego Puschini, Simone Bacles-Min, Edith Beigné, Xuan-Tu Tran AES Datapath Optimization Strategies for Low-Power Low-Energy Multisecurity-Level Internet-of-Things Applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Junghoon Oh, Mineo Kaneko Latency-Aware Selection of Check Variables for Soft-Error Tolerant Datapath Synthesis. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yu Wang 0136, Hyunchul Shin Effective regularity extraction and placement techniques for datapath-intensive circuits. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Cunxi Yu, Mihir Choudhury, Andrew Sullivan, Maciej J. Ciesielski Advanced Datapath Synthesis using Graph Isomorphism. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
17Payman Behnam, Bijan Alizadeh, Sajjad Taheri Automated Formal Equivalence Verification of Pipelined Nested Loops in Datapath Designs. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
17Martin Broich, Tobias G. Noll Optimal Datapath Widths Within Turbo and Viterbi Decoders for High Area- and Energy-Efficiency. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Cheng-Chun Tu, Joe Stringer, Justin Pettit Building an Extensible Open vSwitch Datapath. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Anoop Bhagyanath, Klaus Schneider 0001 Exploring different execution paradigms in exposed datapath architectures with buffered processing units. Search on Bibsonomy SAMOS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Heikki O. Kultala, Pekka Jääskeläinen, Johannes IJzerman, Lasse Lehtonen, Timo Viitanen, Markku J. Mäkitalo, Jarmo H. Takala Exposed datapath optimizations for loop scheduling. Search on Bibsonomy SAMOS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Anoop Bhagyanath, Klaus Schneider 0001 Exploring the Potential of Instruction-Level Parallelism of Exposed Datapath Architectures with Buffered Processing Units. Search on Bibsonomy ACSD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Cunxi Yu, Mihir Choudhury, Andrew Sullivan, Maciej J. Ciesielski Advanced datapath synthesis using graph isomorphism. Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Akshay Narayan 0001, Frank Cangialosi, Prateesh Goyal, Srinivas Narayana, Mohammad Alizadeh, Hari Balakrishnan The Case for Moving Congestion Control Out of the Datapath. Search on Bibsonomy HotNets The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Minas Dasygenis, Thanasis Karpouzis, Konstantinos Stergiou 0001 Template matching of a coarse grain reconfigurable architecture datapath using constraint programming. Search on Bibsonomy PCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Zhongqi An, Zhengyu Zhang, Qiang Li, Jing Xing, Hao Du, Zhan Wang, Zhigang Huo, Jie Ma Optimizing the Datapath for Key-value Middleware with NVMe SSDs over RDMA Interconnects. Search on Bibsonomy CLUSTER The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Chau-Chin Huang, Bo-Qiao Lin, Hsin-Ying Lee, Yao-Wen Chang, Kuo-Sheng Wu, Jun-Zhi Yang Graph-Based Logic Bit Slicing for Datapath-Aware Placement. Search on Bibsonomy DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Weihua Zheng, Shenping Xiao, Kenli Li 0001, Keqin Li 0001, Weijin Jiang A performance-efficient and datapath-regular implementation of modified split-radix fast Fourier transform. Search on Bibsonomy J. Intell. Fuzzy Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Huawei Huang, Song Guo 0001, Jinsong Wu 0001, Jie Li 0002 Green DataPath for TCAM-Based Software-Defined Networks. Search on Bibsonomy IEEE Commun. Mag. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Junghoon Oh, Mineo Kaneko Area-Efficient Soft-Error Tolerant Datapath Synthesis Based on Speculative Resource Sharing. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Alberto A. Del Barrio, Jason Cong, Román Hermida A Distributed Clustered Architecture to Tackle Delay Variations in Datapath Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Deepak Kachave, Anirban Sengupta Integrating physical level design and high level synthesis for simultaneous multi-cycle transient and multiple transient fault resiliency of application specific datapath processors. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yu Yuan, Erdal Oruklu Leakage reduction techniques for FinFET datapath circuits. Search on Bibsonomy EIT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Onur Kayiran, Adwait Jog, Ashutosh Pattnaik, Rachata Ausavarungnirun, Xulong Tang, Mahmut T. Kandemir, Gabriel H. Loh, Onur Mutlu, Chita R. Das μC-States: Fine-grained GPU Datapath Power Management. Search on Bibsonomy PACT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yifan He, Maurice Peemen, Luc Waeijen, Erkan Diken, Mattia Fiumara, Gerard K. Rauwerda, Henk Corporaal, Tong Geng A configurable SIMD architecture with explicit datapath for intelligent learning. Search on Bibsonomy SAMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Anoop Bhagyanath, Klaus Schneider 0001 Optimal compilation for exposed datapath architectures with buffered processing units by SAT solvers. Search on Bibsonomy MEMOCODE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Junghoon Oh, Mineo Kaneko Soft-Error Tolerant Datapath Synthesis Considering Adjacency Constraint between Components. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Michael Adriaansen, Mark Wijtvliet, Roel Jordans, Luc Waeijen, Henk Corporaal Code Generation for Reconfigurable Explicit Datapath Architectures with LLVM. Search on Bibsonomy DSD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Andreas Becher, Stefan Wildermann, Moritz Mühlenthaler, Jürgen Teich ReOrder: Runtime datapath generation for high-throughput multi-stream processing. Search on Bibsonomy ReConFig The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Payman Behnam, Bijan Alizadeh, Sajjad Taheri, Masahiro Fujita Formally analyzing fault tolerance in datapath designs using equivalence checking. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yuki Fukazawa, Keita Watanabe, Yuki Minoura, Toshio Kondo, Takahiro Sasaki SIMD-based datapath with efficient operation structure for motion estimation. Search on Bibsonomy ICASSP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Joonas Multanen, Heikki Kultala, Matias Koskela, Timo Viitanen, Pekka Jääskeläinen, Jarmo Takala, Aram Danielyan, Cristóvão Cruz OpenCL programmable exposed datapath high performance low-power image signal processor. Search on Bibsonomy NORCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Cunxi Yu, Maciej J. Ciesielski Automatic word-level abstraction of datapath. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ayub Chin Abdullah, Chia Yee Ooi, Nordinah Bt Ismail, Nurita Binti Mohammad Power-aware through-silicon-via minimization by partitioning finite state machine with datapath. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Duy-Hieu Bui, Diego Puschini, Simone Bacles-Min, Edith Beigné, Xuan-Tu Tran Ultra low-power and low-energy 32-bit datapath AES architecture for IoT applications. Search on Bibsonomy ICICDT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17V. R. Devanathan, Sumant Kale A reconfigurable built-in memory self-repair architecture for heterogeneous cores with embedded BIST datapath. Search on Bibsonomy ITC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Guang-Ming Tang Studies on Datapath Circuits for Superconductor Bit-Slice Microprocessors. Search on Bibsonomy 2016   RDF
17Anirban Sengupta, Saumya Bhadauria Bacterial foraging driven exploration of multi cycle fault tolerant datapath based on power-performance tradeoff in high level synthesis. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Kan Shi, David Boland, George A. Constantinides Imprecise Datapath Design: An Overclocking Approach. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Weihua Zheng, Kenli Li 0001, Keqin Li 0001 Datapath-regular implementation and scaled technique for N=3×2m DFTs. Search on Bibsonomy Signal Process. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Anirban Sengupta, Saumya Bhadauria Automated design space exploration of multi-cycle transient fault detectable datapath based on multi-objective user constraints for application specific computing. Search on Bibsonomy Adv. Eng. Softw. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Saumya Bhadauria, Anirban Sengupta Adaptive bacterial foraging driven datapath optimization: Exploring power-performance tradeoff in high level synthesis. Search on Bibsonomy Appl. Math. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Bijan Alizadeh, Payman Behnam, Somayeh Sadeghi Kohan A Scalable Formal Debugging Approach with Auto-Correction Capability Based on Static Slicing and Dynamic Ranking for RTL Datapath Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Anirban Sengupta, Vipul Kumar Mishra Simultaneous exploration of optimal datapath and loop based high level transformation during area-delay tradeoff in architectural synthesis using swarm intelligence. Search on Bibsonomy Int. J. Knowl. Based Intell. Eng. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Anirban Sengupta, Reza Sedaghat Swarm intelligence driven design space exploration of optimal k-cycle transient fault secured datapath during high level synthesis based on user power-delay budget. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Pekka Jääskeläinen, Heikki Kultala, Timo Viitanen, Jarmo Takala Code Density and Energy Efficiency of Exposed Datapath Architectures. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Luc Waeijen, Dongrui She, Henk Corporaal, Yifan He A Low-Energy Wide SIMD Architecture with Explicit Datapath. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Efstathios Sotiriou-Xanthopoulos, Dionysios Diamantopoulos, George Economakos Evaluation of High-Level Synthesis Techniques for Memory and Datapath Tradeoffs in FPGA Based SoC Architectures. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1008 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license