The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for designs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958 (39) 1959-1969 (19) 1970-1972 (28) 1973-1974 (31) 1975 (15) 1976 (29) 1977 (22) 1978 (25) 1979 (17) 1980 (29) 1981 (28) 1982 (29) 1983 (25) 1984 (36) 1985 (54) 1986 (68) 1987 (61) 1988 (93) 1989 (112) 1990 (132) 1991 (121) 1992 (130) 1993 (147) 1994 (176) 1995 (249) 1996 (247) 1997 (288) 1998 (357) 1999 (473) 2000 (522) 2001 (529) 2002 (678) 2003 (888) 2004 (1083) 2005 (1168) 2006 (1308) 2007 (1462) 2008 (1477) 2009 (957) 2010 (494) 2011 (326) 2012 (366) 2013 (398) 2014 (396) 2015 (355) 2016 (370) 2017 (420) 2018 (378) 2019 (427) 2020 (455) 2021 (466) 2022 (457) 2023 (468) 2024 (120)
Publication types (Num. hits)
article(7465) book(17) incollection(131) inproceedings(11238) phdthesis(193) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12478 occurrences of 5080 keywords

Results
Found 19048 publication records. Showing 19048 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Rajesh Gupta 0003, Melvin A. Breuer Partial scan design of register-transfer level circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF serial scan design, I-paths, design for testability, register-transfer level designs, balanced structures, partial scan design
17S. Lavabre, Yves Bertrand, Michel Renovell, Christian Landrault Test configurations to enhance the testability of sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shift operation, scan register, test operation, modified flip-flops, ISCAS89 benchmarks, multiconfiguration, triconfiguration, dynamic generation, logic testing, controllability, design for testability, design for testability, sequential circuits, sequential circuits, observability, observability, DFT, fault coverage, flip-flops, minimisation, scan designs, test application time, test vector
17William C. Athas, Nestoras Tzartzanis Energy recovery for low-power CMOS. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bootstrap circuits, low-power CMOS, energy-recovery techniques, voltage swing, transition time, MOS device parameters, adder designs, VLSI, mathematical model, bootstrapping, adders, CMOS logic circuits, CMOS logic circuits, power dissipation, integrated circuit modelling, SOI
17Edeltraud Egger, Gerhard Hanappi Modelling creative contradictions for organizational change. Search on Bibsonomy HICSS (4) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF creative contradiction modelling, dynamic designs, information sphere, game-theoretic concepts, game theory, information technology, innovation, business data processing, organizational change, management of change, communication technologies
17James G. Anderson, Carolyn E. Aydin, Bonnie Kaplan An analytical framework for measuring the effectiveness/impacts of computer-based patient record systems. Search on Bibsonomy HICSS (4) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF impact measurement, computer-based patient record systems, integrated information systems, information management needs, reformed health care system, practice patterns, professional relations, research designs.., information technology, health care, health care, medical information systems, social aspects of automation, social systems, analytical framework, records management, effectiveness measurement
17Chi-Hung Chi, Chi-Sum Ho, Siu-Chung Lau Reducing memory latency using a small software driven array cache. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF small software driven array cache, data references, array reference, nonarray reference, data cache designs, cache space, cache control mechanisms, array references, data cache performance, hardware driven data prefetching scheme, software driven cache design, array cache, low runtime overhead, performance evaluation, data structures, compiler, programming, programming, prefetching, program compilers, cache storage, cache performance, temporal locality, spatial locality, memory latency
17John D. Bunda, Donald S. Fussell, William C. Athas Energy-efficient instruction set architecture for CMOS microprocessors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF energy-efficient instruction set architecture, CMOS microprocessors, portable battery-based applications, performance-driven designs, processor architecture tradeoffs, program encoding size, instruction set richness, energy cost, speculative instruction fetching, execution resources, instruction-level parallel machines, multiple-path instruction fetching, high execution bandwidth, power management, microprocessor chips, instruction sets, power dissipation, CMOS digital integrated circuits, reduced instruction set computing, cooling, design constraint, instruction delivery, code density
17Zijian Zhou 0001, Xiaoyu Song, Francisco Corella, Eduard Cerny, Michel Langevin Partitioning transition relations efficiently and automatically. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic partitioning, state transition relations, abstract implicit state enumeration procedure, automatic verification method, graph theory, finite state machines, logic CAD, state estimation, logic partitioning, extended finite state machines, register transfer level designs, multiway decision graphs
17Xinli Gu RT level testability-driven partitioning. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testability-driven partitioning, RT level designs, hard-to-test points, testability analysis algorithm, normal mode, design function, test mode, acyclic partition, BIST technique, fault diagnosis, logic testing, built-in self test, integrated circuit testing, design for testability, ATPG, automatic testing, logic CAD, fault coverage, logic partitioning, test application time, data path, testability measurements, DFT techniques
17Sridhar Narayanan, Melvin A. Breuer Asynchronous multiple scan chain. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous multiple scan chains, scan flip-flops, control complexity, I/O pin count, DFT method, logic IC, logic testing, integrated circuit testing, design for testability, logic design, asynchronous circuits, flip-flops, integrated logic circuits, scan designs, boundary scan testing, test application time
17Santonu Sarkar, Anupam Basu, Arun K. Majumdar Synchronization of communicating modules and processes in high level synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF communicating modules, object oriented design framework, nonblocking channel, real life image processing, synchronization, high level synthesis, high level synthesis, application specific integrated circuits, synchronisation, object-oriented methods, component reuse, ASIC designs, image processing equipment
17Alok Kumar, Anshul Kumar, M. Balakrishnan Heuristic search based approach to scheduling, allocation and binding in Data Path Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF heuristic search based approach, VITAL, partial binding sub-tasks, design styles, component types, scheduling, scheduling, computational complexity, VLSI, high level synthesis, search problems, cost estimates, allocation, computation time, binding, design constraints, solution quality, data path synthesis, benchmark designs
17Hongji Yang, Keith H. Bennett Acquisition of ERA models from data intensive code. Search on Bibsonomy ICSM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF entity relationship attribute diagram acquisition, data-intensive source code, data items, imperative code, formal transformations, imperative constructs, ERA models, industrial COBOL programs, code-embedded relations, designs, data structures, data structures, reverse engineering, reverse engineering, software maintenance, systems analysis, diagrams, entity-relationship modelling
17Shiu-Kai Chin, John Faust, Joseph Giordano Integrating formal methods tools to support system design. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF formal methods tools integration, top-level process descriptions, gate-level hardware designs, simulators, formal specification, system design, specification languages, specification languages, systems analysis, system engineering, theorem-provers, computer-aided design tools, model checkers
17Atish P. Sinha, Iris Vessey Cognitive Fit: An Empirical Study of Recursion and Iteration. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF basic theory, recursive tasks, PASCAL computer science students, repeated measures designs, specific programming languages, cognitive fit, human factors, programming, programming languages, LISP, LISP, Pascal, programming theory, recursive functions, programming constructs, laboratory experiment, performance benefits
17Louis M. Taff, James W. Borchering, W. Richard Hudgins Jr. Estimeetings: Development Estimates and a Front-End Process For a Large Project. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF estimeeting methodology, large software-intensive project, front-end process, domestic US 5ESS Switch project, feature team, feature definition, high-level functionality requirements, high-level design proposal, formal working meeting, development estimate, well-defined milestones, working relationships, interorganizational synergy, software engineering, human factors, telecommunications computing, DP management, personnel, high-level designs, project engineering, software development effort
17Gerald M. Karam, Raymond J. A. Buhr Starvation and Critical Race Analyzers for Ada. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF race analyzers, critical race analysis tools, Ada designs, temporal analysis toolset, operational specification language, language interpreter, deadlock analyzer, starvation analyzer, set-theoretic model, deadlock analyzer, computation space, preprocessing phase, starvation tool, semiautomatic proof, nondeterministic rendezvous, human operator, design examples, Ada, software tools, programming, specification languages, system recovery, liveness, program interpreters
16Rakhi Singh Pareto-efficient designs for multi- and mixed-level supersaturated designs. Search on Bibsonomy Stat. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Masayoshi Takahashi Multiple imputation regression discontinuity designs: Alternative to regression discontinuity designs to estimate the local average treatment effect at the cutoff. Search on Bibsonomy Commun. Stat. Simul. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Tran van Trung Point-missing s-resolvable t-designs: infinite series of 4-designs with constant index. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Poonam Singh, Himanshu Shukla Uniform mixture designs using designs in 2-dimensional spherical region. Search on Bibsonomy Int. J. Syst. Assur. Eng. Manag. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Lianzhuang Qu, Patrick Y. K. Chau Nudge with interface designs of online product review systems - Effects of online product review system designs on purchase behavior. Search on Bibsonomy Inf. Technol. People The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Guangkui Xu, Xiwang Cao, Longjiang Qu Infinite Families of 3-Designs and 2-Designs From Almost MDS Codes. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Matthijs A. Reukers, H. Peter Hofstee, Zaid Al-Ars A Toolchain for Streaming Dataflow Accelerator Designs for Big Data Analytics: Defining an IR for Composable Typed Streaming Dataflow Designs. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Masanori Sawa, Masatake Hirao, Kanami Ito Geometric Designs and Rotatable Designs I. Search on Bibsonomy Graphs Comb. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Tanvir Ahmad, Steven G. Gilmour, Hafiz Muhammad Arshad Comparisons of augmented pairs designs and subset designs. Search on Bibsonomy Commun. Stat. Simul. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Thijs Van Hauwermeiren, Annelies Coene, Guillaume Crevecoeur Fast performance assessment of mechatronic designs integrating CAD and dynamical models with application on servo actuated designs. Search on Bibsonomy CASE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Michael Braun, Michael Kiermaier, Reinhard Laue New 2-designs over finite fields from derived and residual designs. Search on Bibsonomy Adv. Math. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Jerod Michel, Qi Wang 0012 Almost designs and their links with balanced incomplete block designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Pieter Eendebak, Alan R. Vazquez OApackage: A Python package for generation and analysis of orthogonal arrays, optimal designs and conference designs. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16M. K. Sharma, Mekonnen Tadesse Optimal partial triallel cross designs through diallel cross designs. Search on Bibsonomy Model. Assist. Stat. Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Ebrahim Ghaderpour Constructions for orthogonal designs using signed group orthogonal designs. Search on Bibsonomy Discret. Math. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Shenglin Zhou, Xiaoqin Zhan Flag-transitive automorphism groups of 2-designs with λ ≥ (r, λ)2 and an application to symmetric designs. Search on Bibsonomy Ars Math. Contemp. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Brian B. Stone, Douglas C. Montgomery, Rachel T. Silvestrini, Bradley A. Jones No-confounding designs with 20 runs - Alternatives to resolution IV screening designs. Search on Bibsonomy Qual. Reliab. Eng. Int. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Cunsheng Ding, Chengju Li Infinite families of 2-designs and 3-designs from linear codes. Search on Bibsonomy Discret. Math. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Dean Crnkovic, Vedrana Mikulic Crnkovic, Andrea Svob New 3-designs and 2-designs having U(3, 3) as an automorphism group. Search on Bibsonomy Discret. Math. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Leonid A. Bassalygo, Victor A. Zinoviev Remark on balanced incomplete block designs, near-resolvable block designs, and q-ary constant-weight codes. Search on Bibsonomy Probl. Inf. Transm. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Leonid A. Bassalygo, Victor A. Zinoviev Erratum to: "Remark on balanced incomplete block designs, near-resolvable block designs, and q-ary constant-weight codes". Search on Bibsonomy Probl. Inf. Transm. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Erum Mushtaq, Sajid Ali 0003, Syed Ali Hassan 0001 Novel construction methods of quaternion orthogonal designs based on complex orthogonal designs. Search on Bibsonomy ISIT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Javier Gonzalez-Castillo, Puja Panwar, Laura C. Buchanan, César Caballero-Gaudes, Daniel A. Handwerker, David C. Jangraw, Valentinos Zachariou, Souheil J. Inati, Vinai Roopchansingh, John A. Derbyshire, Peter A. Bandettini Evaluation of multi-echo ICA denoising for task based fMRI studies: Block designs, rapid event-related designs, and cardiac-gated fMRI. Search on Bibsonomy NeuroImage The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Cunsheng Ding, Chengju Li Infinite families of 2-designs and 3-designs from linear codes. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
16Wayne Broughton Admissible parameters of symmetric designs satisfying $$v=4(k-\lambda )+2$$ and symmetric designs with inner balance. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Douglas R. Stinson, Colleen Swanson, Tran van Trung A new look at an old construction: Constructing (simple) 3-designs from resolvable 2-designs. Search on Bibsonomy Discret. Math. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Dean Crnkovic Self-orthogonal codes constructed from orbit matrices of 2-designs and quotient matrices of divisible designs. Search on Bibsonomy Electron. Notes Discret. Math. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Alexander Korobkov Power-grid (PG) analysis challenges for large microprocessor designs: (our experience with oracle sparc processor designs). Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mohan S. Shrikhande, Tariq A. Alraqad Recent results on families of symmetric designs and non-embeddable quasi-residual designs. Search on Bibsonomy Information Security, Coding Theory and Related Combinatorics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Jonathan Round, Emily Conradi, Sophie Vaughan, Tristan Bate, Michael Fitzpatrick 0002, Tim Green, Terry Poulton Assessing Designs for Assessment Virtual Patients - an Evaluation of Prototype Designs. Search on Bibsonomy Bio Algorithms Med Syst. The full citation details ... 2010 DBLP  BibTeX  RDF
16Hiroyuki Nakasora A construction of 2-designs from Steiner systems and extendable designs. Search on Bibsonomy J. Comb. Theory, Ser. A The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Rasha Izzeldin Mohammed Osman Performance modelling of database designs using a queueing networks approach : an investigation in the performance modelling and evaluation of detailed database designs using queueing network models. Search on Bibsonomy 2010   RDF
16Nam-Ky Nguyen, Ching-Shui Cheng New E(S2)-Optimal Supersaturated Designs Constructed From Incomplete Block Designs. Search on Bibsonomy Technometrics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Dung Ngoc Dao, Chintha Tellambura Decoding, Performance Analysis, and Optimal Signal Designs for Coordinate Interleaved Orthogonal Designs. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Venkatesh Saligrama Deterministic Designs with Deterministic Guarantees: Toeplitz Compressed Sensing Matrices, Sequence Designs and System Identification Search on Bibsonomy CoRR The full citation details ... 2008 DBLP  BibTeX  RDF
16Vassili C. Mavron, T. P. McDonough, Vladimir D. Tonchev On affine designs and Hadamard designs with line spreads. Search on Bibsonomy Discret. Math. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Spencer P. Hurd, Dinesh G. Sarvate On c-Bhaskar Rao Designs and tight embeddings for path designs. Search on Bibsonomy Discret. Math. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Mario Gionfriddo, Gaetano Quattrocchi Embedding balanced P3-designs into (balanced) P4-designs. Search on Bibsonomy Discret. Math. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Margaret Ann Francel, Spencer P. Hurd Nested balanced ternary designs and Bhaskar Rao designs. Search on Bibsonomy Australas. J Comb. The full citation details ... 2008 DBLP  BibTeX  RDF
16Dean Crnkovic A series of Menon designs and 1-rotational designs. Search on Bibsonomy Finite Fields Their Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16John P. McSorley, Leonard H. Soicher Constructing t-designs from t-wise balanced designs. Search on Bibsonomy Eur. J. Comb. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Rajender Parsad, Sanpei Kageyama, V. K. Gupta 0001 Use of complementary property of block designs in PBIB designs. Search on Bibsonomy Ars Comb. The full citation details ... 2007 DBLP  BibTeX  RDF
16Luqi, Fabrice Kordon Advances in Requirements Engineering: Bridging the Gap between Stakeholders' Needs and Formal Designs. Search on Bibsonomy Monterey Workshop The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Miquel Prats, Christopher F. Earl, Steve Garner, Iestyn Jowers Shape exploration of designs in a style: Toward generation of product designs. Search on Bibsonomy Artif. Intell. Eng. Des. Anal. Manuf. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Murat Kulahci, Søren Bisgaard The Use of Plackett-Burman Designs to Construct Split-Plot Designs. Search on Bibsonomy Technometrics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Sarita Rudra, Shakti Banerjee, Sanpei Kageyama Constructions of 3-resolvable nested 3-designs and 3-wise balanced designs. Search on Bibsonomy Australas. J Comb. The full citation details ... 2005 DBLP  BibTeX  RDF
16Gaetano Quattrocchi, Zsolt Tuza Partition of C 4-Designs into Minimum and Maximum Number of P 3-Designs. Search on Bibsonomy Graphs Comb. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cycle system, Path design, Embedding
16Edwin R. van Dam, Edward Spence Combinatorial designs with two singular values--I: uniform multiplicative designs. Search on Bibsonomy J. Comb. Theory, Ser. A The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Gaetano Quattrocchi Embedding handcuffed designs in D-designs, where D is the triangle with attached edge. Search on Bibsonomy Discret. Math. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Yair Caro, Yehuda Roditty, Johanan Schönheim On colored designs-III: on lambda-colored H-designs, H having lambda edges. Search on Bibsonomy Discret. Math. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Marco Buratti, Fulvio Zuanni Perfect Cayley Designs as Generalizations of Perfect Mendelsohn Designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2001 DBLP  BibTeX  RDF
16Jennifer D. Key Some Applications of Magma in Designs and Codes: Oval Designs, Hermitian Unitals and Generalized Reed-Muller Codes. Search on Bibsonomy J. Symb. Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Anton Betten, Reinhard Laue, Alfred Wassermann New t-designs and large sets of t-designs. Search on Bibsonomy Discret. Math. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Ulrika Wiss, David A. Carr, Håkan Jonsson 0001 Evaluating Three-Dimensional Information Visualization Designs: A Case Study of Three Designs. Search on Bibsonomy IV The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Gholamreza B. Khosrovshahi, Abbas Nowzari-Dalini, Rouzbeh Torabi Trading Signed Designs and Some new 4-(12, 5, 4) Designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Wen-Ai Jackson, Peter R. Wild On GMW Designs and Cyclic Hadamard Designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Klaus Metsch Quasi-residual designs, 1 1/2-designs, and strongly regular multigraphs. Search on Bibsonomy Discret. Math. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Jeffrey H. Dinitz, Esther R. Lamken Howell Designs with Sub-designs. Search on Bibsonomy J. Comb. Theory, Ser. A The full citation details ... 1994 DBLP  BibTeX  RDF
16Peter J. Cameron, Cheryl E. Praeger Block-transitive t-designs I: point-imprimitive designs. Search on Bibsonomy Discret. Math. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Kazuhiko Ushio G-designs and related designs. Search on Bibsonomy Discret. Math. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Warwick de Launey On the construction of n-dimensional designs from 2-dimensional designs. Search on Bibsonomy Australas. J Comb. The full citation details ... 1990 DBLP  BibTeX  RDF
16Luc Teirlinck Locally trivial t-designs and t-designs without repeated blocks. Search on Bibsonomy Discret. Math. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16D. J. Curran, Scott A. Vanstone Doubly resolvable designs from generalized Bhaskar Rao designs. Search on Bibsonomy Discret. Math. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Sunanda Bagchi, Bhaskar Bagchi Designs from pairs of finite fields. A cyclic unital U(6) and other regular steiner 2-designs. Search on Bibsonomy J. Comb. Theory, Ser. A The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16P. H. Fisher, Tim Penttila, Gordon F. Royle Symmetric designs, polarities and sub-designs. Search on Bibsonomy Discret. Math. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Mike J. Grannell, Terry S. Griggs Product constructions for cyclic block designs II. Steiner 2-designs. Search on Bibsonomy J. Comb. Theory, Ser. A The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
16Ryoh Fuji-Hara, Scott A. Vanstone Transversal Designs and Doubly-resolvable Designs. Search on Bibsonomy Eur. J. Comb. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16R. C. Bose, William G. Bridges, Mohan S. Shrikhande Partial geometric designs and two-class partially balanced designs. Search on Bibsonomy Discret. Math. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
16A. Hedayat A Complete Solution to the Existence and Nonexistence of Knut Vik Designs and Orthogonal Knut Vik Designs. Search on Bibsonomy J. Comb. Theory, Ser. A The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
16Andrej Pázman Optimum experimental designs with a lack of a priori information. II. Designs for the estimation of the whole response function. Search on Bibsonomy Kybernetika The full citation details ... 1976 DBLP  BibTeX  RDF
16Andrej Pázman Optimum experimental designs with a lack of a priori information. I. Designs for the estimation of a finite-dimensional set of functionals. Search on Bibsonomy Kybernetika The full citation details ... 1975 DBLP  BibTeX  RDF
16Alexander Rosa, Charlotte Huang Another class of balanced graph designs: balanced circuit designs. Search on Bibsonomy Discret. Math. The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
16Haim Hanani Balanced incomplete block designs and related designs. Search on Bibsonomy Discret. Math. The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
16N. M. Singhi (19, 9, 4) Hadamard Designs and Their Residual Designs. Search on Bibsonomy J. Comb. Theory, Ser. A The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
16G. M. Saha, M. N. Das Construction of Partially Balanced Incomplete Block Designs through 2n Factorials and Some New Designs of Two Associate Classes. Search on Bibsonomy J. Comb. Theory, Ser. A The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
15Qiang Liu, George A. Constantinides, Konstantinos Masselos, Peter Y. K. Cheung Combining Data Reuse With Data-Level Parallelization for FPGA-Targeted Hardware Compilation: A Geometric Programming Framework. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Weifeng Su, Zoltan Safar, K. J. Ray Liu Orthogonal Space-Time Block Codes With Sphere Packing. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ramsey Hourani, Ravi Jenkal, W. Rhett Davis, Winser E. Alexander Automated Design Space Exploration for DSP Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VLSI, Synthesis, Throughput, DSP, RTL, FIR filter, Hardware design, Power dissipation, Area
15Kathleen Vunckx, Dirk Beque, Michel Defrise, Johan Nuyts Single and Multipinhole Collimator Design Evaluation Method for Small Animal SPECT. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Mark Sims, Daniel D. Corkill, Victor R. Lesser Automated organization design for multi-agent systems. Search on Bibsonomy Auton. Agents Multi Agent Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-agent organization design, Multi-agent systems, Multi-agent coordination
15Ronald Scrofano, Ling Zhuo, Viktor K. Prasanna Area-Efficient Arithmetic Expression Evaluation Using Deeply Pipelined Floating-Point Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Al Geist MPI Must Evolve or Die. Search on Bibsonomy PVM/MPI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Srinivasan Murali, Luca Benini, Giovanni De Micheli An Application-Specific Design Methodology for On-Chip Crossbar Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 19048 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license