|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 28137 occurrences of 7496 keywords
|
|
|
Results
Found 33018 publication records. Showing 33018 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
20 | Eric Leu, André Schiper |
Execution Replay: A Mechanism for Integrating a Visualization Tool with a Symbolic Debugger. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 92 - VAPP V, Second Joint International Conference on Vector and Parallel Processing, Lyon, France, September 1-4, 1992, Proceedings, pp. 55-66, 1992, Springer, 3-540-55895-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
20 | Makoto Amamiya, Rin-Ichiro Taniguchi |
An Ultra-Multiprocessing Machine Architecture for Efficient Parallel Execution of Functional Languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurrency: Theory, Language, And Architecture ![In: Concurrency: Theory, Language, And Architecture, UK/Japan Workshop, Oxford, UK, September 25-27, 1989, Proceedings, pp. 257-281, 1989, Springer, 3-540-53932-8. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
Keyword Functional language, Massively parallel machine architecture, Dataflow analysis, Multiprocessing, Dataflow machine |
19 | Tihomir Gvero, Milos Gligoric 0001, Steven Lauterburg, Marcelo d'Amorim, Darko Marinov, Sarfraz Khurshid |
State extensions for java pathfinder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 30th International Conference on Software Engineering (ICSE 2008), Leipzig, Germany, May 10-18, 2008, pp. 863-866, 2008, ACM, 978-1-60558-079-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
delta execution, jpf, mixed execution, java pathfinder |
19 | Raimund Kirner, Peter P. Puschner |
Classification of WCET Analysis Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: Eighth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2005), 18-20 May 2005, Seattle, WA, USA, pp. 190-199, 2005, IEEE Computer Society, 0-7695-2356-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Classification, Execution Times, Worst-Case Execution Time Analysis, Generic Framework |
19 | Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt |
Understanding the effects of wrong-path memory references on processor performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMPI ![In: Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, June 20, 2004, pp. 56-64, 2004, ACM, 1-59593-040-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
processor performance analysis, wrong path modeling, wrong-path memory references, speculative execution, data prefetching, execution-driven simulation, cache pollution |
19 | Dileep Bhandarkar, Jianxun Jason Ding |
Performance Characterization of the Pentium(r) Pro Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 288-299, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Pentium® Pro processor, SPEC CPU95, SYSmark/NT, performance evaluation, computer architecture, workload characterization, speculative execution, out of order execution |
19 | Eric Hao, Po-Yung Chang, Yale N. Patt |
The effect of speculatively updating branch history on branch prediction accuracy, revisited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 27th Annual International Symposium on Microarchitecture, San Jose, California, USA, November 30 - December 2, 1994, pp. 228-232, 1994, ACM / IEEE Computer Society, 0-89791-707-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
two-level adaptive branch prediction, speculative execution, superscalar processors, out-of-order execution, dynamic branch prediction |
19 | Dasarath Weeratunge, Xiangyu Zhang 0001, Suresh Jagannathan |
Analyzing multicore dumps to facilitate concurrency bug reproduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 155-166, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
reproduction, multi-core, concurrency bugs, execution indexing |
19 | Qiang Zhu 0001, Jaidev Haridas, Wen-Chi Hou |
Query optimization via contention space partitioning and cost error controlling for dynamic multidatabase systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Parallel Databases ![In: Distributed Parallel Databases 23(2), pp. 151-188, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Multistate cost model, Execution plan, Algorithm, Query optimization, Dynamic environment, Multidatabase system |
19 | Murali Haran, Alan F. Karr, Michael Last, Alessandro Orso, Adam A. Porter, Ashish P. Sanil, Sandro Fouché |
Techniques for Classifying Executions of Deployed Software to Support Software Engineering Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 33(5), pp. 287-304, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Execution classification, remote analysis/measurement |
19 | Rupak Majumdar, Ru-Gang Xu |
Directed test generation using symbolic grammars. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Proceedings of the 6th joint meeting of the European Software Engineering Conference and the ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2007, Dubrovnik, Croatia, September 3-7, 2007, pp. 553-556, 2007, ACM, 978-1-59593-811-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
concolic execution, grammar based testing, symbolic grammars, random testing, testing C programs |
19 | Rupak Majumdar, Ru-Gang Xu |
Directed test generation using symbolic grammars. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 22nd IEEE/ACM International Conference on Automated Software Engineering (ASE 2007), November 5-9, 2007, Atlanta, Georgia, USA, pp. 134-143, 2007, ACM, 978-1-59593-882-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
concolic execution, grammar based testing, symbolic grammars, random testing, testing C programs |
19 | Xianfeng Li, Tulika Mitra, Abhik Roychoudhury |
Modeling Control Speculation for Timing Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 29(1), pp. 27-58, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
micro-architectural modeling, worst case execution time, branch prediction, schedulability analysis, instruction cache |
19 | Yuxing Tang, Kun Deng, Xiaodong Wang 0002, Yong Dou, Xingming Zhou |
RIMP: Runtime Implicit Predication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 6th International Workshop, APPT 2005, Hong Kong, China, October 27-28, 2005, Proceedings, pp. 71-80, 2005, Springer, 3-540-29639-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
runtime execution, RIMP, predication, trace cache |
19 | Serguei Mourachov |
ATCT: a Java framework that offers new approach to developing asynchronous processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion of the 18th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2003, October 26-30, 2003, Anaheim, CA, USA, pp. 86-87, 2003, ACM, 1-58113-751-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Java framework, execution context reification, asynchronous processes |
19 | Eui-Young Chung, Giovanni De Micheli, Luca Benini |
Contents provider-assisted dynamic voltage scaling for low energy multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002, pp. 42-47, 2002, ACM, 1-58113-475-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
DVS(Dynamic Voltage Scaling), contents provider, multimedia, low-power, worst case execution time, characterization |
19 | Peter P. Puschner, Alan Burns 0001 |
Writing Temporally Predictable Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WORDS ![In: 7th IEEE International Workshop on Object-Oriented Real-Time Dependable Systems (WORDS 2002), 7-9 January 2002, San Diego, CA, USA, pp. 85-94, 2002, IEEE Computer Society, 0-7695-1576-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Real-Time Systems, Predictability, Computer Architectures, Programming Paradigms, Worst-Case Execution-Time Analysis |
19 | Dulcineia Carvalho, Fabio Kon, Francisco J. Ballesteros, Manuel Román, Roy H. Campbell, M. Dennis Mickunas |
Management of Environments in 2K. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: Seventh International Conference on Parallel and Distributed Systems, ICPADS 2000, Iwate, Japan, July 4-7, 2000, pp. 479-485, 2000, IEEE Computer Society, 0-7695-0568-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Distributed Component-based Operating Systems, Middleware, Execution Environments |
19 | K. A. Momin, K. Vidyasankar |
Flexible Integration of Optimistic and Pessimistic Concurrency Control in Mobile Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ADBIS-DASFAA ![In: Current Issues in Databases and Information Systems, East-European Conference on Advances in Databases and Information Systems Held Jointly with International Conference on Database Systems for Advanced Applications, ADBIS-DASFAA 2000, Prague, Czech Republic, September 5-8, 2000, Proceedings, pp. 346-353, 2000, Springer, 3-540-67977-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Re-execution, Pessimistic mode, Mobile computing, Concurrency control, Transaction processing |
19 | Rafael H. Saavedra, Alan Jay Smith |
Analysis of Benchmark Characteristics and Benchmark Performance Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 14(4), pp. 344-384, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
abstract machine performance model, benchmark analysis, execution time prediction, microbenchmarking |
19 | Bogdan Korel |
Automated Software Test Data Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(8), pp. 870-879, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
automated software test data generation, function-minimization methods, input variables, program execution flow, function-minimization search algorithms, input variables, array indexes, data structures, program testing, search problems, automatic programming, minimisation, backtracking, pointers, dynamic data structures, program behavior, dynamic data-flow analysis |
19 | James R. Larus |
Abolish runtime systems: operating systems should control the execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 2nd International Conference on Virtual Execution Environments, VEE 2006, Ottawa, Ontario, Canada, June 14-16, 2006, pp. 1, 2006, ACM, 978-1-59593-332-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Shiwen Hu, Lizy Kurian John |
Impact of virtual execution environments on processor energy consumption and hardware adaptation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 2nd International Conference on Virtual Execution Environments, VEE 2006, Ottawa, Ontario, Canada, June 14-16, 2006, pp. 100-110, 2006, ACM, 978-1-59593-332-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
hardware adaptation, energy efficiency, power dissipation |
19 | Shinpei Kato, Hidenori Kobayashi, Nobuyuki Yamasaki |
U-Link Scheduling: Bounding Execution Time of Real-Time Tasks with Multi-Case Execution Time on SMT Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2005), 17-19 August 2005, Hong Kong, China, pp. 193-197, 2005, IEEE Computer Society, 0-7695-2346-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Tien-Hsiung Weng, Barbara M. Chapman |
Implementing OpenMP Using Dataflow Execution Model for Data Locality and Efficient Parallel Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Nobuyuki Yamasaki, Ikuo Magaki, Tsutomu Itou |
Prioritized SMT Architecture with IPC Control Method for Real-Time Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: Proceedings of the 13th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2007, April 3-6, 2007, Bellevue, Washington, USA, pp. 12-21, 2007, IEEE Computer Society, 978-0-7695-2800-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Edward H. Bensley, Thomas J. Brando, J. C. Fohlin, Myra Jean Prelle, Ann Wollrath |
MITRE's future generation computer architectures program. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA/ECOOP Workshop on Object-based Concurrent Programming ![In: Proceedings of the 1988 ACM SIGPLAN Workshop on Object-based Concurrent Programming, OOPSLA/ECOOP Workshop on Object-based Concurrent Programming 1988, San Diego, CA, USA, September 26-27, 1988, pp. 99-101, 1988, ACM, 978-0-89791-304-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
18 | Yue Lu 0005, Thomas Nolte, Iain Bate |
Timing analyzing for systems with execution dependencies between tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 357-358, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
parametric worst-case execution-time estimates on tasks, TIMES, response-time analysis, complex real-time systems |
18 | Jason Mars, Neil Vachharajani, Robert Hundt, Mary Lou Soffa |
Contention aware execution: online contention detection and response. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 257-265, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cross-core interference, dynamic techniques, execution runtimes, online adaptation, multicore, contention |
18 | Lili Tan |
The worst-case execution time tool challenge 2006. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Tools Technol. Transf. ![In: Int. J. Softw. Tools Technol. Transf. 11(2), pp. 133-152, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Embedded systems, Timing analysis, Worst-case execution time, WCET, Hard real time |
18 | Wolfgang Narzt, Heinrich Schmitzberger |
Location-Triggered Code Execution - Dismissing Displays and Keypads for Mobile Interaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (6) ![In: Universal Access in Human-Computer Interaction. Intelligent and Ubiquitous Interaction Environments, 5th International Conference, UAHCI 2009, Held as Part of HCI International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings, Part II, pp. 374-383, 2009, Springer, 978-3-642-02709-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Location-Triggered Code Execution, Natural Interaction Paradigms |
18 | Jason Belt, Robby, Xianghua Deng |
Sireum/Topi LDP: a lightweight semi-decision procedure for optimizing symbolic execution-based analyses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Proceedings of the 7th joint meeting of the European Software Engineering Conference and the ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2009, Amsterdam, The Netherlands, August 24-28, 2009, pp. 355-364, 2009, ACM, 978-1-60558-001-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
program analysis, symbolic execution, decision procedure |
18 | Nicolas Rapin |
Symbolic Execution Based Model Checking of Open Systems with Unbounded Variables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TAP@TOOLS ![In: Tests and Proofs - 3rd International Conference, TAP@TOOLS 2009, Zurich, Switzerland, July 2-3, 2009. Proceedings, pp. 137-152, 2009, Springer, 978-3-642-02948-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Input Output Symbolic Transition Systems, Verification, Symbolic Execution, Linear Temporal Logic |
18 | Reda Bendraou, Jean-Marc Jézéquel, Franck Fleurey |
Combining Aspect and Model-Driven Engineering Approaches for Software Process Modeling and Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSP ![In: Trustworthy Software Development Processes, International Conference on Software Process, ICSP 2009 Vancouver, Canada, May 16-17, 2009 Proceedings, pp. 148-160, 2009, Springer, 978-3-642-01679-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
process modeling and execution, UML, Executable models |
18 | Yuzhong Jiao, Xin'an Wang, Xuewen Ni |
A Fully Data-Driven Reconfigurable Architecture with Very Coarse-Grained Execution Units. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Infoscale ![In: Scalable Information Systems, 4th International ICST Conference, Infoscale 2009, Hong Kong, June 10-11, 2009, Revised Selected Papers, pp. 1-13, 2009, Springer, 978-3-642-10484-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Processing element (PE), Execution unit (EU), Very-coarse-grained, Fully-data-driven, Reconfigurable architecture |
18 | Wassim Bouaziz, Eric Andonoff |
Dynamic Execution of Coordination Protocols in Open and Distributed Multi-Agent Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES-AMSTA ![In: Agent and Multi-Agent Systems: Technologies and Applications, Third KES International Symposium, KES-AMSTA 2009, Uppsala, Sweden, June 3-5, 2009. Proceedings, pp. 609-618, 2009, Springer, 978-3-642-01664-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Coordination Protocols, Protocol Execution, Multi-Agents Systems |
18 | Md. Musfiquzzaman Akanda, Ben A. Abderazek, Masahiro Sowa |
Dual-execution mode processor architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 44(2), pp. 103-125, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Dual-execution, Queue computation, Dynamic switching, Hardware usability, Parallel, Embedded core |
18 | Jun Yan 0008, Wei Zhang 0002 |
Analyzing the worst-case execution time for instruction caches with prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 8(1), pp. 7:1-7:19, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
instruction caches, hard real-time, Worst-case execution time analysis, instruction prefetching |
18 | James H. Hill, Douglas C. Schmidt, Adam A. Porter, John M. Slaby |
CiCUTS: Combining System Execution Modeling Tools with Continuous Integration Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: 15th Annual IEEE International Conference and Workshop on Engineering of Computer Based Systems (ECBS 2008), 31 March - 4 April 2008, Belfast, Northern Ireland, pp. 66-75, 2008, IEEE Computer Society, 978-0-7695-3141-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
serialized phasing, system execution modeling, integration testing, continuous integration |
18 | Christian Ferdinand, Reinhold Heckmann |
Worst-Case Execution Time - A Tool Provider's Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 11th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2008), 5-7 May 2008, Orlando, Florida, USA, pp. 340-345, 2008, IEEE Computer Society, 978-0-7695-3132-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
WCET worst-case execution time prediction |
18 | Raimund Kirner, Peter P. Puschner |
Obstacles in Worst-Case Execution Time Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 11th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2008), 5-7 May 2008, Orlando, Florida, USA, pp. 333-339, 2008, IEEE Computer Society, 978-0-7695-3132-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
measurement-based timing analysis, static analysis, WCET, worst-case execution time analysis |
18 | Jan-Erik Ekberg, N. Asokan, Kari Kostiainen, Aarne Rantala |
Scheduling execution of credentials in constrained secure environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STC ![In: Proceedings of the 3rd ACM Workshop on Scalable Trusted Computing, STC 2008, Alexandria, VA, USA, October 31, 2008, pp. 61-70, 2008, ACM, 978-1-60558-295-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
onboard credentials, secure execution environments, trusted hardware, scheduling |
18 | Gustavo Rau de Almeida Callou, Paulo Romero Martins Maciel, Ermeson Carneiro de Andrade, Bruno Costa e Silva Nogueira, Eduardo Tavares, Meuse N. Oliveira Jr. |
A Formal Approach for Estimating Embedded System Execution Time and Energy Consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 18th International Workshop, PATMOS 2008, Lisbon, Portugal, September 10-12, 2008. Revised Selected Papers, pp. 379-388, 2008, Springer, 978-3-540-95947-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Energy Consumption and Execution Time, Simulation, Embedded System, Coloured Petri Net |
18 | Gustavo Rau de Almeida Callou, Paulo Romero Martins Maciel, Ermeson Carneiro de Andrade, Bruno Costa e Silva Nogueira, Eduardo Antonio Guimarães Tavares |
A coloured petri net based approach for estimating execution time and energy consumption in embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2008, Gramado, Brazil, September 1-4, 2008, pp. 134-139, 2008, ACM, 978-1-60558-231-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
simulation, energy consumption, embedded software, coloured petri net, execution time |
18 | Yunqing Rao, Fei He, Xinyu Shao, Chaoyong Zhang |
On-Line Simulation for Shop Floor Control in Manufacturing Execution System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIRA (2) ![In: Intelligent Robotics and Applications, First International Conference, ICIRA 2008, Wuhan, China, October 15-17, 2008 Proceedings, Part II, pp. 141-150, 2008, Springer, 978-3-540-88516-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Shop floor control, Manufacturing Execution System, On-Line Simulation |
18 | Xiang Fu 0001, Kai Qian |
SAFELI: SQL injection scanner using symbolic execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TAV-WEB ![In: Proceedings of the 2008 Workshop on Testing, Analysis, and Verification of Web Services and Applications, held in conjunction with the ACM SIGSOFT International Symposium on Software Testing and Analysis (ISSTA 2008), TAV-WEB 2008, Seattle, Washington, USA, July 21, 2008, pp. 34-39, 2008, ACM, 978-1-60558-053-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
symbolic execution, automated testing, constraint solver, SQL injection attack |
18 | Weiwu Hu, Ji-Ye Zhao, Shi-Qiang Zhong, Xu Yang, Elio Guidetti, Chris Wu |
Implementing a 1GHz Four-Issue Out-of-Order Execution Microprocessor in a Standard Cell ASIC Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 22(1), pp. 1-14, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
superscalar pipeline, non-blocking cache, synthesis flow, bit-sliced placement, crafted cell, performance evaluation, physical design, out-of-order execution, general-purpose processor |
18 | Levent Guner, Pinar Senkul |
Frequent Itemset Minning with Trie Data Structure and Parallel Execution with PVM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 14th European PVM/MPI User's Group Meeting, Paris, France, September 30 - October 3, 2007, Proceedings, pp. 289-296, 2007, Springer, 978-3-540-75415-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Message Passing, PVM, Parallel Execution, Apriori, Trie |
18 | Avik Paul, Rajeev Kumar |
Precise dynamic slicing using execution-summary. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007, pp. 1330-1331, 2007, ACM, 1-59593-480-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
program slicing, execution trace, dynamic slicing |
18 | Chengmo Yang, Alex Orailoglu |
Predictable execution adaptivity through embedding dynamic reconfigurability into static MPSoC schedules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 15-20, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multiprocessor task schedulihng, reconfiguration, adaptive execution |
18 | Andriy V. Miranskyy, Nazim H. Madhavji, Mechelle Gittens, Matthew Davison 0001, Mark Wilding, David Godwin |
An iterative, multi-level, and scalable approach to comparing execution traces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Proceedings of the 6th joint meeting of the European Software Engineering Conference and the ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2007, Dubrovnik, Croatia, September 3-7, 2007, pp. 537-540, 2007, ACM, 978-1-59593-811-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
dynamic information flow analysis, traces comparison, software testing, code coverage, execution traces |
18 | Darren Mutz, William K. Robertson, Giovanni Vigna, Richard A. Kemmerer |
Exploiting Execution Context for the Detection of Anomalous System Calls. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RAID ![In: Recent Advances in Intrusion Detection, 10th International Symposium, RAID 2007, Gold Goast, Australia, September 5-7, 2007, Proceedings, pp. 1-20, 2007, Springer, 978-3-540-74319-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
System Call Argument Analysis, Execution Context, Intrusion Detection |
18 | Susan Eisenbach, Chris Sadler, Dominic Wong |
Component Adaptation in Contemporary Execution Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAIS ![In: Distributed Applications and Interoperable Systems, 7th IFIP WG 6.1 International Conference, DAIS 2007, Paphos, Cyprus, June 6-8, 2007, Proceedings, pp. 90-103, 2007, Springer, 978-3-540-72881-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
component evolution, runtime systems, .NET, dynamic linking, execution environments, component adaptation |
18 | Klaus Peter Fischer, Udo Bleimann, Steven Furnell |
Pre-execution Security Policy Assessment of Remotely Defined BPEL-Based Grid Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TrustBus ![In: Trust, Privacy and Security in Digital Business, 4th International Conference, TrustBus 2007, Regensburg, Germany, September 3-7, 2007, Proceedings, pp. 178-189, 2007, Springer, 978-3-540-74408-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Business Process Execution Language (BPEL), Grid Processes, Security Policy Enforcement, Virtual Organizations, Grid Services, Information Flow Analysis |
18 | Stelios Sidiroglou, Angelos D. Keromytis |
Execution transactions for defending against software failures: use and evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Inf. Sec. ![In: Int. J. Inf. Sec. 5(2), pp. 77-91, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Transactional Execution, Buffer overflows, Exception |
18 | Hyesoon Kim, Onur Mutlu, Yale N. Patt, Jared Stark |
Wish Branches: Enabling Adaptive and Aggressive Predicated Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 26(1), pp. 48-58, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Wish branches, wish loops, branch prediction, predicated execution |
18 | Nikolai Tillmann, Wolfram Schulte |
Unit Tests Reloaded: Parameterized Unit Testing with Symbolic Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Softw. ![In: IEEE Softw. 23(4), pp. 38-47, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
test coverage of code, formal methods, validation, symbolic execution, testing tools, test design |
18 | Eugene Santos, Scott A. DeLoach, Michael T. Cox |
Achieving dynamic, multi-commander, multi-mission planning and execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Intell. ![In: Appl. Intell. 25(3), pp. 335-357, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Mobile multiagent systems, Mixed-initiative planning, Distributed mission planning and execution, Intelligent resource allocation, Agent oriented software engineering |
18 | Hossein Safyallah, Kamran Sartipi |
Dynamic Analysis of Software Systems using Execution Pattern Mining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPC ![In: 14th International Conference on Program Comprehension (ICPC 2006), 14-16 June 2006, Athens, Greece, pp. 84-88, 2006, IEEE Computer Society, 0-7695-2601-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Feature Extraction, Scenario, Dynamic Analysis, Execution Trace, Sequential Pattern Mining |
18 | Stephen F. Siegel, Anastasia Mironova, George S. Avrunin, Lori A. Clarke |
Using model checking with symbolic execution to verify parallel numerical programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the ACM/SIGSOFT International Symposium on Software Testing and Analysis, ISSTA 2006, Portland, Maine, USA, July 17-20, 2006, pp. 157-168, 2006, ACM, 1-59593-263-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
model checking, parallel programming, concurrency, MPI, message passing interface, high performance computing, symbolic execution, floating-point, spin, finite state verification, numerical program |
18 | Marco Caccamo, Giorgio C. Buttazzo, Deepu C. Thomas |
Efficient Reclaiming in Reservation-Based Real-Time Systems with Variable Execution Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(2), pp. 198-213, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Overrun management, resource reclaiming, variable execution times, overload control |
18 | Wolfgang Emmerich, Ben Butchart, Liang Chen, Bruno Wassermann, Sarah L. Price |
Grid Service Orchestration Using the Business Process Execution Language (BPEL). ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Grid Comput. ![In: J. Grid Comput. 3(3-4), pp. 283-304, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Grid computing, workflow, Grid services, business process execution language |
18 | Emil Vassev, Joey Paquet |
A General Architecture for Demand Migration in a Demand-Driven Execution Engine in a Heterogeneous and Distributed Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CNSR ![In: Third Annual Conference on Communication Networks and Services Research (CNSR 2005), 16-18 May 2005, Halifax, Novia Scotia, Canada, pp. 176-182, 2005, IEEE Computer Society, 0-7695-2333-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Demand-Driven Execution, Distributed Computing, JINI, JavaSpaces, GIPSY |
18 | Wookjin Lee, Sanghyun Park, Keeyoull Lee, Chunwoo Lee, Byungjeong Lee, Woosung Jung, Taeksu Kim, Heechern Kim, Chisu Wu |
Agile Development of Web Application by Supporting Process Execution and Extended UML Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 12th Asia-Pacific Software Engineering Conference (APSEC 2005), 15-17 December 2005, Taipei, Taiwan, pp. 193-200, 2005, IEEE Computer Society, 0-7695-2465-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
extended UML model, Web Application, Agile Methodology, Process Execution |
18 | Lu Luo, Bonnie E. John |
Predicting task execution time on handheld devices using the keystroke-level model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2005 Conference on Human Factors in Computing Systems, CHI 2005, Portland, Oregon, USA, April 2-7, 2005, pp. 1605-1608, 2005, ACM, 1-59593-002-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
task execution time, cognitive modeling, handheld device, keystroke-level model |
18 | Arvind Seshadri, Mark Luk, Elaine Shi, Adrian Perrig, Leendert van Doorn, Pradeep K. Khosla |
Pioneer: verifying code integrity and enforcing untampered code execution on legacy systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 20th ACM Symposium on Operating Systems Principles 2005, SOSP 2005, Brighton, UK, October 23-26, 2005, pp. 1-16, 2005, ACM, 1-59593-079-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
dynamic root of trust, self-check-summing code, software-based code attestation, verifiable code execution, rootkit detection |
18 | Jan Staschulat, Rolf Ernst |
Multiple process execution in cache related preemption delay analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: EMSOFT 2004, September 27-29, 2004, Pisa, Italy, Fourth ACM International Conference On Embedded Software, Proceedings, pp. 278-286, 2004, ACM, 1-58113-860-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
scheduling, embedded systems, cache, worst case execution time analysis |
18 | J. Doyle, C. Meudec |
IBIS: an Interactive Bytecode Inspection System, using symbolic execution and constraint logic programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ ![In: Proceedings of the 2nd International Symposium on Principles and Practice of Programming in Java, PPPJ 2003, Kilkenny City, Ireland, June 16-18, 2003, pp. 55-58, 2003, ACM, 0-9544145-1-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
logic programming, symbolic execution, constraint logic programming, Java Bytecode, automatic tests data generation, coverage testing |
18 | Augustus K. Uht |
Disjoint Eager Execution: what it is / what it is not. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 30(1), pp. 12-14, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
eager execution, high-performance computing, microarchitecture, control speculation |
18 | Jan Gustafsson |
Worst Case Execution Time Analysis of Object-Oriented Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WORDS ![In: 7th IEEE International Workshop on Object-Oriented Real-Time Dependable Systems (WORDS 2002), 7-9 January 2002, San Diego, CA, USA, pp. 71-76, 2002, IEEE Computer Society, 0-7695-1576-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
real-time systems, Object-oriented programming, worst case execution time |
18 | Faisal Rashid, Kewal K. Saluja, Parameswaran Ramanathan |
Fault Tolerance through Re-Execution in Multiscalar Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2000 International Conference on Dependable Systems and Networks (DSN 2000) (formerly FTCS-30 and DCCA-8), 25-28 June 2000, New York, NY, USA, pp. 482-491, 2000, IEEE Computer Society, 0-7695-0707-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Multiscalar architecture, Re-execution, Static configuration, Fault-tolerance, Dynamic configuration, Time redundancy |
18 | Alexander Münnich, Georg Färber |
Calculating Worst-Case Execution Times of Transactions in Databases for Event-Driven, Hard Real-Time Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAS ![In: 2000 International Database Engineering and Applications Symposium, IDEAS 2000, September 18-20, 2000, Yokohoma, Japan, Proccedings, pp. 149-157, 2000, IEEE Computer Society, 0-7695-0789-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
worst-case execution, transaction managenrent, real-time systems, concurrency control, real-time embedded systems, safety-critical |
18 | Jianli Xu, Juha Kuusela |
Analyzing the Execution Architecture of Mobile Phone Software with Colored Petri Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Tools Technol. Transf. ![In: Int. J. Softw. Tools Technol. Transf. 2(2), pp. 133-143, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Execution archi-tecture, Modeling, Performance, Software architecture, Colored Petri nets |
18 | George Xirogiannis |
Granularity Control for Distributed Execution of Logic Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 18th International Conference on Distributed Computing Systems, Amsterdam, The Netherlands, May 26-29, 1998, pp. 230-237, 1998, IEEE Computer Society, 0-8186-8292-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
grain size, communication, distributed execution |
18 | Paul Tarau, Verónica Dahl, Koenraad De Bosschere |
A Logic Programming Infrastructure for Remote Execution, Mobile Code and Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WETICE ![In: 6th Workshop on Enabling Technologies (WET-ICE '97), Infrastructure for Collaborative Enterprises, 18-20 June 1997, MIT, Cambridge, MA, USA, Proceedings, pp. 106-112, 1997, IEEE Computer Society, 0-8186-7967-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
BinProlog, intuitionistic implication, strong metaprogramming, high-order call/N, filtering interactors, security, agents, logic programming, logic programming, mobile code, remote execution |
18 | Phillip M. Dickens, Philip Heidelberger, David M. Nicol |
Parallelized Direct Execution Simulation of Message-Passing Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(10), pp. 1090-1105, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Direct execution simulation, synchronization, contention, parallel simulation, MIMD, architectural simulation, message-passing programs |
18 | Jun Sun 0002, Jane W.-S. Liu |
Bounding completion times of jobs with arbitrary release times and variable execution times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 17th IEEE Real-Time Systems Symposium (RTSS '96), December 4-6, 1996, Washington, DC, USA, pp. 2-12, 1996, IEEE Computer Society, 0-8186-7689-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
job completion time bounding, arbitrary release times, variable execution times, linear precedence constraints, priority-driven basis, simulation, scheduling, performance, real-time systems, real-time systems, complexity, upper bounds, workload |
18 | Bruce W. Weber |
Trade execution costs and disintermediated order crossing systems on the London Stock Exchange. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (4) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 950-959, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
securities trading, disintermediated order crossing systems, trade execution costs, securities exchanges, screen-based markets, investors' orders, intermediation costs, bid-ask spread, broker commission costs, SEAQ market, quote-driven trading mechanism, order arrival, information change, competing dealer market, low-cost trading systems, economics, costing, transactions costs, commerce, electronic trading |
18 | Kuei Yu Wang, Dan C. Marinescu |
Correlation of the paging activity of individual node programs in the SPMD execution mode. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 61-73, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
paging activity, individual node programs, SPMD execution mode, parallel program monitoring, scheduling, scheduling, parallel programming, parallel programs, program diagnostics, paged storage |
18 | Balkrishna Ramkumar, Laxmikant V. Kalé |
Machine Independent AND and OR Parallel Execution of Logic Programs: Part I-The Binding Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(2), pp. 170-180, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
binding environment, nonshared memory multiprocessors, Chare Kernel, parallel programming, compiler, logic programming, logic programs, multiprocessing systems, shared memory multiprocessors, parallel execution |
18 | Luk Levrouw, Koenraad Audenaert |
Minimizing the Log Size for Execution Replay of Shared-Memory Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 94 - VAPP VI, Third Joint International Conference on Vector and Parallel Processing, Linz, Austria, September 6-8, 1994, Proceedings, pp. 76-87, 1994, Springer, 3-540-58430-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
Execution Replay, Logical Clocks, Parallel Debugging |
18 | Alberto Coen-Porisini, Flavio De Paoli, Carlo Ghezzi, Dino Mandrioli |
Software Specialization Via Symbolic Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 17(9), pp. 884-899, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
environment-supporting specialization, generalized software components, reengineering technique, transformation tools, symbolic executor/simplifier, loop refolder, conceptual basis, Ada programming language, optimizer, Ada, software maintenance, software reuse, maintenance, software reusability, program compilers, symbolic execution, subroutines, interactive environment |
18 | Jai Prakash Gupta, Stephen C. Winter, Derek R. Wilson |
CTDNet-A Mechanism for the Concurrent Execution of Lambda Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(11), pp. 1357-1367, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
CTDNet, lambda graphs, data-driven reduction machine, applicative functional programs, lambda calculus expressions, binary-tree-structured process graphs, ancestor, master processes, slave processes, distributed eager evaluation scheme, conditional expressions, distributed string reduction, parallel programmingas, graph theory, children, parallel machines, pointers, concurrent execution, neighbors |
18 | Kazim Yumbul, Erkay Savas |
Efficient, secure, and isolated execution of cryptographic algorithms on a cryptographic unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIN ![In: Proceedings of the 2nd International Conference on Security of Information and Networks, SIN 2009, Gazimagusa, North Cyprus, October 6-10, 2009, pp. 143-151, 2009, ACM, 978-1-60558-412-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
security, computer architecture, public key cryptography, instruction set extensions, cryptographic algorithms, aes |
18 | Trosky Boris Callo Arias, Pierre America, Paris Avgeriou |
Defining execution viewpoints for a large and complex software-intensive system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WICSA/ECSA ![In: Joint Working IEEE/IFIP Conference on Software Architecture 2009 and European Conference on Software Architecture 2009, WICSA/ECSA 2009, Cambridge, UK, 14-17 September 2009, pp. 1-10, 2009, IEEE Computer Society, 978-1-4244-4984-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Michael Hauck 0001, Michael Kuperberg, Klaus Krogmann, Ralf H. Reussner |
Modelling Layered Component Execution Environments for Performance Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBSE ![In: Component-Based Software Engineering, 12th International Symposium, CBSE 2009, East Stroudsburg, PA, USA, June 24-26, 2009, Proceedings, pp. 191-208, 2009, Springer, 978-3-642-02413-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Narayanan Sundaram, Anand Raghunathan, Srimat T. Chakradhar |
A framework for efficient and scalable execution of domain-specific templates on GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-12, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Prateek Saxena, Pongsin Poosankam, Stephen McCamant, Dawn Song |
Loop-extended symbolic execution on binary programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the Eighteenth International Symposium on Software Testing and Analysis, ISSTA 2009, Chicago, IL, USA, July 19-23, 2009, pp. 225-236, 2009, ACM, 978-1-60558-338-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
loop summaries, vulnerability discovery and diagnosis |
18 | Jason Crampton, Hemanth Khambhammettu |
On delegation and workflow execution models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008, pp. 2137-2144, 2008, ACM, 978-1-59593-753-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
delegation, workflow management systems |
18 | Sanghyun Park, Aviral Shrivastava, Yunheung Paek |
Hiding Cache Miss Penalty Using Priority-based Execution for Embedded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 1190-1195, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Kalyan S. Perumalla, Brandon G. Aaby |
Data parallel execution challenges and runtime performance of agent simulations on GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SpringSim ![In: Proceedings of the 2008 Spring Simulation Multiconference, SpringSim 2008, Ottawa, Canada, April 14-17, 2008, pp. 116-123, 2008, SCS/ACM, 1-56555-319-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
graphical processing units, parallel simulation, agent-based simulation, emergent behavior, large-scale simulation |
18 | Xiaochun Zhu, Bo Zhou 0010, Li Hou, Junbo Chen, Lu Chen |
An Experience-Based Approach for Test Execution Effort Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICYCS ![In: Proceedings of the 9th International Conference for Young Computer Scientists, ICYCS 2008, Zhang Jia Jie, Hunan, China, November 18-21, 2008, pp. 1193-1198, 2008, IEEE Computer Society, 978-0-7695-3398-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Weiyu Chen, Jingjing Wu, Weiwei Sun, Zhenying He |
A Location Based Execution Path Selection for Composite Service in MANETs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICYCS ![In: Proceedings of the 9th International Conference for Young Computer Scientists, ICYCS 2008, Zhang Jia Jie, Hunan, China, November 18-21, 2008, pp. 533-538, 2008, IEEE Computer Society, 978-0-7695-3398-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Nagarajan Venkateswaran, Vinoth Krishnan Elangovan, Karthik Ganesan 0006, T. R. S. Sagar, S. Aananthakrishanan, S. Ramalingam, Shyamsundar Gopalakrishnan, Madhavan Manivannan, Deepak Srinivasan, Viswanath Krishnamurthy, Karthik Chandrasekar 0001, Viswanath Venkatesan, Balaji Subramaniam, V. Sangkar, Aravind Vasudevan, Shrikanth Ganapathy, Sriram Murali, M. Thyagarajan |
On the concept of simultaneous execution of multiple applications on hierarchically based cluster and the silicon operating system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-8, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Krishnamurthy Vidyasankar, P. Radha Krishna 0001, Kamalakar Karlapalem |
Study of Execution Centric Payment Issues in E-contracts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE SCC (2) ![In: 2008 IEEE International Conference on Services Computing (SCC 2008), 8-11 July 2008, Honolulu, Hawaii, USA, pp. 135-142, 2008, IEEE Computer Society, 978-0-7695-3283-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
transaction properties, composition graph, payment tree, payments, e-contracts |
18 | HariGovind V. Ramasamy, Adnan Agbaria, William H. Sanders |
A Parsimonious Approach for Obtaining Resource-Efficient and Trustworthy Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Dependable Secur. Comput. ![In: IEEE Trans. Dependable Secur. Comput. 4(1), pp. 1-17, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
fault tolerance, Distributed systems, Byzantine faults |
18 | Najwa Aaraj, Anand Raghunathan, Srivaths Ravi 0001, Niraj K. Jha |
Energy and execution time analysis of a software-based trusted platform module. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1128-1133, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Erik Elmroth, Francisco Hernández-Rodriguez, Johan Tordsson |
A Light-Weight Grid Workflow Execution Engine Enabling Client and Middleware Independence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 7th International Conference, PPAM 2007, Gdansk, Poland, September 9-12, 2007, Revised Selected Papers, pp. 754-761, 2007, Springer, 978-3-540-68105-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Qin Zhao, Joon Edward Sim, Weng-Fai Wong, Larry Rudolph |
DEP: detailed execution profile. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), Seattle, Washington, USA, September 16-20, 2006, pp. 154-163, 2006, ACM, 1-59593-264-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
memory reference, profile, control flow, dynamic instrumentation |
18 | Hervé Ménager, Zoé Lacroix |
A Workflow Engine for the Execution of Scientific Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE Workshops ![In: Proceedings of the 22nd International Conference on Data Engineering Workshops, ICDE 2006, 3-7 April 2006, Atlanta, GA, USA, pp. 68, 2006, IEEE Computer Society, 0-7695-2571-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
Displaying result #301 - #400 of 33018 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|