The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnect with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1983 (16) 1984-1986 (17) 1987-1988 (18) 1989 (15) 1990 (21) 1991 (23) 1992 (28) 1993 (29) 1994 (36) 1995 (70) 1996 (83) 1997 (98) 1998 (108) 1999 (156) 2000 (196) 2001 (191) 2002 (284) 2003 (311) 2004 (362) 2005 (425) 2006 (457) 2007 (439) 2008 (383) 2009 (289) 2010 (159) 2011 (120) 2012 (121) 2013 (123) 2014 (104) 2015 (132) 2016 (112) 2017 (129) 2018 (97) 2019 (95) 2020 (104) 2021 (103) 2022 (233) 2023 (107) 2024 (18)
Publication types (Num. hits)
article(1890) incollection(14) inproceedings(3834) phdthesis(47) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3674 occurrences of 1433 keywords

Results
Found 5812 publication records. Showing 5812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Ayhan A. Mutlu, Jiayong Le, Ruben Molina, Mustafa Celik Parametric analysis to determine accurate interconnect extraction corners for design performance. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yan Lin 0001, Lei He 0001, Mike Hutton Stochastic Physical Synthesis Considering Prerouting Interconnect Uncertainty and Process Variation for FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Yung-Ta Li, Zhaojun Bai, Yangfeng Su, Xuan Zeng 0001 Model Order Reduction of Parameterized Interconnect Networks via a Two-Directional Arnoldi Process. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Shilpa Bhoj, Dinesh Bhatia Early stage FPGA interconnect leakage power estimation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Zhiyi Yu, Bevan M. Baas A low-area interconnect architecture for chip multiprocessors. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27T. Venkata Kalyan, Madhu Mutyam, Vijaya Sankara Rao Pasupureddi Exploiting Variable Cycle Transmission for Energy-Efficient On-Chip Interconnect Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Srinivasan Murali, David Atienza, Paolo Meloni, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Xiaoji Ye, Frank Liu 0001, Peng Li 0001 Fast Variational Interconnect Delay and Slew Computation Using Quadratic Models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jongsun Kim, Ingrid Verbauwhede, M.-C. Frank Chang Design of an Interconnect Architecture and Signaling Technology for Parallelism in Communication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Pu Liu, Sheldon X.-D. Tan, Bruce McGaughy, Lifeng Wu, Lei He TermMerg: An Efficient Terminal-Reduction Method for Interconnect Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Love Singhal, Elaheh Bozorgzadeh, David Eppstein Interconnect Criticality-Driven Delay Relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Yan Lin 0001, Lei He 0001 Stochastic physical synthesis for FPGAs with pre-routing interconnect uncertainty and process variation. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, uncertainty, process variation, stochastic, physical synthesis
27Yan Lin 0001, Lei He 0001 Interactive presentation: Statistical dual-Vdd assignment for FPGA interconnect power reduction. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Brajesh Kumar Kaushik, Sankar Sarkar, Rajendra Prasad Agarwal, Ramesh C. Joshi Crosstalk Analysis of an Inductively and Capacitively Coupled Interconnect Driven by a CMOS Gate. Search on Bibsonomy ICIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Arthur Nieuwoudt, Mosin Mondal, Yehia Massoud Predicting the Performance and Reliability of Carbon Nanotube Bundles for On-Chip Interconnect. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Shilpa Bhoj, Dinesh Bhatia Pre-route Interconnect Capacitance and Power Estimation in FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jeff L. Cobb, Rajesh Garg, Sunil P. Khatri A methodology for interconnect dimension determination. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Taemin Kim, Xun Liu Compatibility path based binding algorithm for interconnect reduction in high level synthesis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Deepak C. Sekar, Azad Naeemi, Reza Sarvari, Jeffrey A. Davis, James D. Meindl IntSim: A CAD tool for optimization of multilevel interconnect networks. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Boyan Semerdjiev, Dimitrios Velenis Efficient Insertion of Crosstalk Shielding along On-Chip Interconnect Trees. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Boyan Semerdjiev, Dimitrios Velenis Optimal Crosstalk Shielding Insertion along On-Chip Interconnect Trees. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Ilhan Hatirnaz, Stéphane Badel, Nuria Pazos, Yusuf Leblebici, Srinivasan Murali, David Atienza, Giovanni De Micheli Early wire characterization for predictable network-on-chip global interconnects. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early wire characterization, design methodology, NoCs, global interconnects
27Hoyeol Cho, Kyung-Hoae Koo, Pawan Kapur, Krishna Saraswat Modeling of the performance of carbon nanotube bundle, cu/low-k and optical on-chip global interconnects. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cu, bandwidth density, power, latency, optics, carbon nanotube, Global interconnects
27Sarma B. K. Vrudhula, Janet Meiling Wang, Praveen Ghanta Hermite Polynomial Based Interconnect Analysis in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27James D. Ma, Rob A. Rutenbar Fast Interval-Valued Statistical Modeling of Interconnect and Effective Capacitance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Maurice Meijer, Rohini Krishnan, Martijn T. Bennebroek Energy-efficient FPGA interconnect design. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Florin Dumitrascu, Iuliana Bacivarov, Lorenzo Pieralisi, Marius Bonaciu, Ahmed Amine Jerraya Flexible MPSoC platform with fast interconnect exploration for optimal system performance for a specific application. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Vishal Suthar, Shantanu Dutt Efficient on-line interconnect testing in FPGAs with provable detectability for multiple faults. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Katherine Shu-Min Li, Yao-Wen Chang, Chauchin Su, Chung-Len Lee 0001, Jwu E. Chen IEEE standard 1500 compatible interconnect diagnosis for delay and crosstalk faults. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Shweta Shah, Nazanin Mansouri, Adrián Núñez-Aldana Pre-Layout Estimation of Interconnect Lengths for Digital Integrated Circuits. Search on Bibsonomy CONIELECOMP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Hong Li, Venkataramanan Balakrishnan, Cheng-Kok Koh Stable and compact inductance modeling of 3-D interconnect structures. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Simon Hollis, Simon W. Moore An area-efficient, pulse-based interconnect. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Xiaoning Qi, Alex Gyure, Yansheng Luo, Sam C. Lo, Mahmoud Shahram, Kishore Singhal Measurement and characterization of pattern dependent process variations of interconnect resistance, capacitance and inductance in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement, process variations, extraction, VLSI interconnects
27Cristian Grecu, André Ivanov, Res Saleh, Partha Pratim Pande NoC Interconnect Yield Improvement Using Crosspoint Redundancy. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Narender Hanchate, Nagarajan Ranganathan Post-Layout Gate Sizing for Interconnect Delay and Crosstalk Noise Optimization. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Andrew B. Kahng, Kambiz Samadi, Puneet Sharma Study of Floating Fill Impact on Interconnect Capacitance. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Praveen Ghanta, Sarma B. K. Vrudhula Variational Interconnect Delay Metrics for Statistical Timing Analysis. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Uri Cummings Ethernet interconnects - Low-latency ethernet: the ubiquitous datacenter interconnect. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Aristides Efthymiou, John Bainbridge, Douglas A. Edwards Test pattern generation and partial-scan methodology for an asynchronous SoC interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Magdy A. El-Moursy, Eby G. Friedman Shielding effect of on-chip interconnect inductance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Shankar Balachandran, Dinesh Bhatia A priori wirelength and interconnect estimation based on circuit characteristic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang, Bo Yao The Y architecture for on-chip interconnect: analysis and methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Peng Li 0001, Frank Liu 0001, Xin Li 0001, Lawrence T. Pileggi, Sani R. Nassif Modeling Interconnect Variability Using Efficient Parametric Model Order Reduction. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Charlotte Soens, Geert Van der Plas, Piet Wambacq, Stéphane Donnay Simulation Methodology for Analysis of Substrate Noise Impact on Analog / RF Circuits Including Interconnect Resistance. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yuh-Fang Tsai, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin Leakage-Aware Interconnect for On-Chip Network. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Rong Jiang 0002, Charlie Chung-Ping Chen Comprehensive frequency dependent interconnect extraction and evaluation methodology. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Radu M. Secareanu, Suman K. Banerjee, Olin L. Hartin, Virgilio Fernandez, Eby G. Friedman Managing substrate and interconnect noise from high performance repeater insertion in a mixed-signal environment. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Soroush Abbaspour, Hanif Fatemi, Massoud Pedram VITA: variation-aware interconnect timing analysis for symmetric and skewed sources of variation considering variational ramp input. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF moment calculation, sources of variation, sensitivity, statistical timing analysis, elmore delay
27Atsushi Kurokawa, Masaharu Yamamoto, Nobuto Ono, Tetsuro Kage, Yasuaki Inoue, Hiroo Masuda Capacitance and Yield Evaluations Using a 90-nm Process Technology Based on the Dense Power-Ground Interconnect Architecture. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Chung-Kuan Tsai, Malgorzata Marek-Sadowska An Interconnect Insensitive Linear Time-Varying Driver Model for Static Timing Analysis. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Haihua Yan, Adit D. Singh A Delay Test to Differentiate Resistive Interconnect Faults from Weak Transistor Defects. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Ajoy Kumar Palit, Volker Meyer, Walter Anheier, Jürgen Schlöffel ABCD Modeling of Crosstalk Coupling Noise to Analyze the Signal Integrity Losses on the Victim Interconnect in DSM Chips. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Magdy A. El-Moursy, Eby G. Friedman Power characteristics of inductive interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Rohini Krishnan, José Pineda de Gyvez, Martijn T. Bennebroek Low energy FPGA interconnect design. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Vikas Chandra, Anthony Xu, Herman Schmit, Lawrence T. Pileggi An Interconnect Channel Design Methodology for High Performance Integrated Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Akira Tsuchiya, Masanori Hashimoto, Hidetoshi Onodera Representative frequency for interconnect R(f)L(f)C extraction. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Aristides Efthymiou, John Bainbridge, Douglas A. Edwards Adding Testability to an Asynchronous Interconnect for GALS SoC. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Cristian Grecu, Partha Pratim Pande, André Ivanov, Res Saleh Structured interconnect architecture: a solution for the non-scalability of bus-based SoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BFT, scalability, pipelining, bus, MP-SoC
27Ajoy Kumar Palit, Volker Meyer, Walter Anheier, Jürgen Schlöffel Modeling and Analysis of Crosstalk Coupling Effect on the Victim Interconnect Using the ABCD Network Model. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Frank Liu 0001, Sani R. Nassif, Sarma B. K. Vrudhula Variational delay metrics for interconnect timing analysis. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Performance, Design
27Beng Hwee Ong, Choon Beng Sia, Kiat Seng Yeo, Jianguo Ma, Manh Anh Do, Erping Li Investigating the frequency dependence elements of CMOS RFIC interconnects for physical modeling. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF distributed effects, frequency dependence elements, physical model, skin effects
27Ruibing Lu, Cheng-Kok Koh Interconnect Planning with Local Area Constrained Retiming. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Weiping Liao, Lei He 0001 Full-Chip Interconnect Power Estimation and Simulation Considering Concurrent Repeater and Flip-Flop Insertion. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Haitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao 0001, Rajendran Panda, Sachin S. Sapatnekar Table look-up based compact modeling for on-chip interconnect timing and noise analysis. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Sambuddha Bhattacharya, C.-J. Richard Shi Concurrent logic and interconnect delay estimation of MOS circuits by mixed algebraic and Boolean symbolic analysis. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Pranav Anbalagan, Jeffrey A. Davis Maximum multiplicity distributions (MMD). Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF system-level prediction, wire-length distributions, simulated annealing
27Taku Uchino, Jason Cong An interconnect energy model considering coupling effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27George S. Taylor, Simon W. Moore, Robert D. Mullins, Peter Robinson 0001 Point to Point GALS Interconnect. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Esther Y. Cheng, Feng Zhou, Bo Yao, Chung-Kuan Cheng, Ronald L. Graham Balancing the Interconnect Topology for Arrays of Processors between Cost and Power. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Sudhakar M. Reddy, Irith Pomeranz, Huaxing Tang, Seiji Kajihara, Kozo Kinoshita On Testing of Interconnect Open Defects in Combinational Logic Circuits with Stems of Large Fanout. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Ilkka Saastamoinen, David A. Sigüenza-Tortosa, Jari Nurmi Interconnect IP Node for Future System-on-Chip Designs. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF System-on-Chip, reuse, on-chip communication, packet network
27Raguraman Venkatesan, Jeffrey A. Davis, Keith A. Bowman, James D. Meindl Optimal n-tier multilevel interconnect architectures for gigascale integration (GSI). Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Jason Cong, Tianming Kong, Z. D. Pan Buffer block planning for interconnect planning and prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Charles J. Alpert, Anirudh Devgan, John P. Fishburn, Stephen T. Quay Interconnect synthesis without wire tapering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Daniel Eckerbert, Per Larsson-Edefors Interconnect-Driven Short-Circuit Power Modeling. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Jason Cong, David Zhigang Pan, Prasanna V. Srinivas Improved crosstalk modeling for noise constrained interconnect optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Shail Aditya, Michael S. Schlansker ShiftQ: a bufferred interconnect for custom loop accelerators. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Alexander V. Shafarenko, Vladimir Vasekin An Adaptive, Reconfigurable Interconnect for Computational Clusters. Search on Bibsonomy CCGRID The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Miron Abramovici, Charles E. Stroud, Matthew Lashinsky, Jeremy Nall, John Marty Emmert On-Line BIST and Diagnosis of FPGA Interconnect Using Roving STARs. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Kenneth Rose A comprehensive look at system level model. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Li-Fu Chang, Keh-Jeng Chang, Christophe J. Bianchi A Proposal for Accurately Modeling Frequency-Dependent On-Chip Interconnect Impedance. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Haluk Konuk Voltage- and current-based fault simulation for interconnect open defects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Yiqun Lin, Robert Lomenick, Rex Lowther, Wenhua Ni, Widad Rafie-Hibner, Orlando Ruiz, Jim Furino Interconnect model generation tool. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Pradeep Prabhakaran, Prithviraj Banerjee, Jim E. Crenshaw, Majid Sarrafzadeh Simultaneous Scheduling, Binding and Floorplanning for Interconnect Power Optimization. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27M. B. Anand, Hideki Shibata, Masakazu Kakumu Multiobjective optimization of VLSI interconnect parameters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Jason Cong, Lei He 0001 An efficient technique for device and interconnect optimization in deep submicron designs. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Stephen Dean Brown, Muhammad M. Khellah, Zvonko G. Vranesic Minimizing FPGA Interconnect Delays. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Lawrence T. Pileggi Coping with RC(L) interconnect design headaches. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Andreas Nowatzyk, Paul R. Prucnal Are Crossbars Really Dead? The Case for Optical Multiprocessor Interconnect Systems. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Demos F. Anastasakis, Nanda Gopal, Seok-Yoon Kim, Lawrence T. Pillage Enhancing the stability of asymptotic waveform evaluation for digital interconnect circuit applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Noel Menezes, Satyamurthy Pullela, Florentin Dartu, Lawrence T. Pillage RC interconnect synthesis-a moment fitting approach. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Christos A. Papachristou, Haluk Konuk A Linear Program Driven Scheduling and Allocation Method Followed by an Interconnect Optimization Algorithm. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
27Norman S. Matloff, Stephen Kowel, Charles Eldering Optimul: An optional interconnect for multiprocessor systems. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
27Konstantin Moiseev, Avinoam Kolodny, Shmuel Wimer Timing-aware power-optimal ordering of signals. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Wire ordering, wire spacing, power optimization, interconnect optimization
27Sansiri Tanachutiwat, Wei Wang 0003 Exploring Multi-layer Graphene Nanoribbon Interconnects. Search on Bibsonomy NanoNet The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Graphene, Modeling, Interconnect, Conductance
27N. Venkateswaran 0002, S. Balaji, V. Sridhar Fault tolerant bus architecture for deep submicron based processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deep submicron technology, fault tolerance, interconnect, electromigration
27Eun Jung Kim 0001, Greg M. Link, Ki Hwan Yum, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Chita R. Das A Holistic Approach to Designing Energy-Efficient Cluster Interconnects. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Buffer design, cluster interconnect, dynamic link shutdown, link design, dynamic voltage scaling, energy optimization, switch design
27M. A. Sarwar, Alan D. George, David E. Collins Reliability Modeling of SCI Ring-Based Topologies. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SCI ring-based topologies, cluster interconnects, point-to-point ring-based interconnect, switched ring topologies, 1D k-ary n-cube switching fabrics, 2D k-ary n-cube switching fabrics, UltraSAN, single-ring system, redundant ring, fault tolerance, Petri nets, multiprocessor interconnection networks, network topology, reliability modeling, link failures, system buses, multiprocessor interconnects, scalable coherent interface
27W. Stephen Lacy, José Cruz-Rivera, D. Scott Wills The Offset Cube: A Three-Dimensional Multicomputer Network Topology Using Through-Wafer Optics. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF MPP networks, ultra-compact systems, offset cube, 3D packaging, through-wafer signaling, adaptive routing, optical interconnect, deadlock freedom, 3D mesh
26Chiu-Wing Sham, Evangeline F. Y. Young, Hai Zhou 0001 Optimizing wirelength and routability by searching alternative packings in floorplanning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wirelength reduction, Floorplanning
Displaying result #301 - #400 of 5812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license