The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for manufacturability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1992 (17) 1993-1996 (17) 1997-1999 (19) 2000-2001 (27) 2002-2003 (32) 2004 (32) 2005 (37) 2006 (31) 2007 (29) 2008 (34) 2009-2010 (20) 2011-2015 (19) 2016-2017 (17) 2018-2020 (16) 2021-2024 (15)
Publication types (Num. hits)
article(91) book(2) incollection(1) inproceedings(267) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 341 occurrences of 179 keywords

Results
Found 362 publication records. Showing 362 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Michel Côté, Philippe Hurat Standard Cell Printability Grading and Hot Spot Detection. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Manish Garg, Laurent Le Cam, Matthieu Gonzalez Lithography Driven Layout Design. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Artur Balasinski DfM for SoC, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jennifer L. Wong, Farinaz Koushanfar, Miodrag Potkonjak Flexible ASIC: shared masking for multiple media processors. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, interconnect, ASIC
12Li-Da Huang, Xiaoping Tang, Hua Xiang 0001, Martin D. F. Wong, I-Min Liu A polynomial time-optimal diode insertion/routing algorithm for fixing antenna problem [IC layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Maria Luisa Garcia-Romeu, Quim de Ciurana Design and Manufacturing Assistance Tool for Drawing Sheet Metal Parts. Search on Bibsonomy CDVE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Concurrent Engineering Tools, Manufacturing Design, sheet metal processes, computer manufacturing, DSS
12Monica Donno, Enrico Macii, Luca Mazzoni Power-aware clock tree planning. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clock tree synthesis and routing, physical design and optimization, low-power design, digital design
12Yong Zhao, Cheng Zhao, Jianzhong Cha An Intelligent Design Method of Product Scheme Innovation. Search on Bibsonomy WSC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Tim Fühner, Andreas Erdmann, Richárd Farkas, Bernd Tollkühn, Gabriella Kókai Genetic Algorithms to Improve Mask and Illumination Geometries in Lithographic Imaging Systems. Search on Bibsonomy EvoWorkshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mark A. Lavin, Fook-Luen Heng, Gregory A. Northrop Backend CAD flows for "restrictive design rules". Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sani R. Nassif, Duane S. Boning, Nagib Hakim The care and feeding of your statistical static timer. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sani R. Nassif The impact of variability on power. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, variability, integrated circuit
12Jaeho Lee 0004, Joon Young Park, Deok-Soo Kim, Hyun-Chan Lee Polyhedron Splitting Algorithm for 3D Layer Generation. Search on Bibsonomy ICCSA (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yu-Tsao Hsing, Chih-Wea Wang, Ching-Wei Wu, Chih-Tsun Huang, Cheng-Wen Wu Failure Factor Based Yield Enhancement for SRAM Designs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Michel Côté, Philippe Hurat Layout Printability Optimization Using a Silicon Simulation Methodology. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Charles Njinda A Hierarchical DFT Architecture for Chip, Board and System Test/Debug. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Hans T. Heineken, Jitendra Khare Test Strategies For a 40Gbps Framer SoC. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ravishankar Arunachalam, Emrah Acar, Sani R. Nassif Optimal shielding/spacing metrics for low power design. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Martin Schrader, Roderick McConnell SoC Design and Test Considerations. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky, Yuhong Zheng Area Fill Generation With Inherent Data Volume Reduction. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Yervant Zorian Leveraging Infrastructure IP for SoC Yield. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Hyung Rim Choi, Byung Joo Park, Hyun Soo Kim, Yong-Sung Park, Young Jae Park Multi-Agent based negotiation support systems for order based manufacturers. Search on Bibsonomy ICEC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling and sales engineer, intelligent agents, negotiation, multi-agent, virtual manufacturing
12Puneet Gupta 0001, Andrew B. Kahng Manufacturing-Aware Physical Design. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky Area fill synthesis for uniform layout density. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Ruiqi Tian, Xiaoping Tang, Martin D. F. Wong Dummy-feature placement for chemical-mechanical polishinguniformity in a shallow-trench isolation process. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Li-Da Huang, Xiaoping Tang, Hua Xiang 0001, D. F. Wong 0001, I-Min Liu A Polynomial Time Optimal Diode Insertion/Routing Algorithm for Fixing Antenna Problem. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Mark Fiala, Anup Basu Panoramic Stereo Reconstruction Using Non-SVP Optics. Search on Bibsonomy ICPR (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Daniel N. Maynard Productivity Optimization Techniques for the Proactive Semiconductor Manufacturer (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modeling, Productivity, Design for Manufacturing (DFM), Characterization, Checking
12Eric Dupont, Michael Nicolaidis Robustness IPs for Reliability and Security of SoCs. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Karanth Shankaranarayana, Soujanna Sarkar, R. Venkatraman, Shyam S. Jagini, N. Venkatesh, Jagdish C. Rao, H. Udayakumar, M. Sambandam, K. P. Sheshadri, S. Talapatra, Parag Mhatre, Jais Abraham, Rubin A. Parekhji Challenges in the Design of a Scalable Data-Acquisition and Processing System-on-Silicon. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Yervant Zorian Embedding infrastructure IP for SOC yield improvement. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded test & repair, semiconductor IP, yield optimization, test resource partitioning
12Krzysztof S. Berezowski Transistor Chainning with Integrated Dynamic Folding for 1-D Leaf Cell Synthesis. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Martin R. Frerichs Precise extraction of ultra deep submicron interconnect parasitics with parameterizable 3D-modeling: invited talk. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky Hierarchical dummy fill for process uniformity. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Andreas Lechner, Andrew Richardson 0001, B. Hermes Short Circuit Faults in State-of-the-Art ADCs - Are They Hard or Soft? Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ruiqi Tian, Xiaoping Tang, D. F. Wong 0001 Dummy feature placement for chemical-mechanical polishing uniformity in a shallow trench isolation process. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Carmen M. Pancerella, James D. Myers, Christine L. Yang, Deborah K. Gracio Collaborative Problem Solving Environments - Minitrack Introduction. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mykola Blyzniuk, Irena Kazymyra Development of the Special Software Tools for the Defect/Fault Analysis in the Complex Gates from Standard Cell Library. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Test Vector Components, Software Tool, VLSI Circuit, Spot Defect, Fault Identification, Complex Gate
12Neil Harrison A Simple via Duplication Tool for Yield Enhancement. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kees Veelenturf The Road to Better Reliability and Yield Embedded DfM Tools. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF wire spreading, yield prediction, yield improvement, DfM
12Wilm E. Donath, Prabhakar Kudva, Leon Stok, Paul Villarrubia, Lakshmi N. Reddy, Andrew Sullivan, Kanad Chakraborty Transformational Placement and Synthesis. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Yervant Zorian Yield Improvement and Repair Trade-Off for Large Embedded Memories. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon repair, BIST, DFM, Yield improvement
12Wieslaw Kuzmicz Internet-Based Virtual Manufacturing: A Verification Tool for IC Designs. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF analog IC design, statistical simulation, virtual manufacturing
12Betty Prince Quality Memory Blocks -- Balancing the Trade-Offs. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Mark E. Dean Trends in Computing. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Andrew B. Kahng, Gabriel Robins, Anish Singh, Alexander Zelikovsky Filling algorithms and analyses for layout density control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Luke Roth, Lee D. Coraor, David L. Landis, Paul T. Hulina, Scott Deno Computing in Memory Architectures for Digital Image Processing. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Lisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey Behavioral-level synthesis of heterogeneous BISR reconfigurable ASIC's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Jian Li 0061, Rajesh K. Gupta 0001 An Algorithm To Determine Mutually Exclusive Operations In Behavioral Descriptions. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Andrew B. Kahng, Sudhakar Muddu, Egino Sarto, Rahul Sharma Interconnect Tuning Strategies for High-Performance Ics. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Andrew B. Kahng, Gabriel Robins, Anish Singh, Huijuan Wang, Alexander Zelikovsky Filling and slotting: analysis and algorithms. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Stuart K. Tewksbury, Lawrence A. Hornak Optical Clock Distribution in Electronic Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Howard D. Owens, Baxter F. Womack, Mario J. Gonzalez Software Error Classification using Purify. Search on Bibsonomy ICSM The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Purify, maintenance, detection, Defects
12Roni Yagel, Shao-Chiung Lu, Alec B. Rebello, Richard Allen Miller Volume-Based Reasoning and Visualization of Diecastability. Search on Bibsonomy IEEE Visualization The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Joo Y. Jung, Samir B. Billatos An expert system for assembly based on Axiomatic Design principles. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Axiomatic design, design for assembly, expert systems, knowledge base
12Raghu Karinthi, Dana S. Nau An Algebraic Approach to Feature Interactions. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF geometric interactions, Protosolid solid modeling system, EFHA process planning system, solid modelling, algebra, spatial reasoning, spatial reasoning, feature interactions, CAD/CAM, CAD/CAM, geometric reasoning, algebraic approach, CAD systems
12Dennis L. Young, Jim Teplik, Harrison D. Weed, Neil T. Tracht, Antonio R. Alvarez Application of statistical design and response surface methods to computer-aided VLSI device design II. Desirability functions and Taguchi methods. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12I. C. You, Chong-Nam Chu, Rangasami L. Kashyap Symbolic representation of three-dimensional objects to aid local and global shape analysis for defect prediction of casting design. Search on Bibsonomy Appl. Intell. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Casting design, pattern model, feature, skeleton extraction
12I. C. You, Chong-Nam Chu, Rangasami L. Kashyap Knowledge Representation and Control Structure Based on Three-Dimensional Symbolic Skeletons for CAD/CAM Integration. Search on Bibsonomy IEA/AIE (Vol. 1) The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Osama K. Eyada, Yiannakis A. Ioannou, Jin B. Ong An interactive tolerance system. Search on Bibsonomy IEA/AIE (1) The full citation details ... 1989 DBLP  DOI  BibTeX  RDF C
12Antonio R. Alvarez, Behrooz L. Abdi, Dennis L. Young, Harrison D. Weed, Jim Teplik, Eric R. Herald Application of statistical design and response surface methods to computer-aided VLSI device design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
12Robin L. Steele An Expert System Application in Semicustom VLSI Design. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #362 of 362 (100 per page; Change: )
Pages: [<<][1][2][3][4]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license