The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for nanotechnology with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (37) 1996 (40) 1998-2001 (17) 2002-2003 (36) 2004 (43) 2005 (48) 2006 (117) 2007 (72) 2008 (48) 2009 (42) 2010 (31) 2011 (93) 2012 (66) 2013 (78) 2014 (73) 2015 (71) 2016 (54) 2017 (62) 2018 (35) 2019 (48) 2020 (48) 2021 (50) 2022 (41) 2023 (56) 2024 (2)
Publication types (Num. hits)
article(338) book(5) incollection(8) inproceedings(929) phdthesis(11) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 452 occurrences of 271 keywords

Results
Found 1308 publication records. Showing 1308 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Miri Barak, Maya Usher The innovation profile of nanotechnology team projects of face-to-face and online learners. Search on Bibsonomy Comput. Educ. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17John Rumble, John Broome, Simon Hodson Building an International Consensus on Multi-Disciplinary Metadata Standards: A CODATA Case History in Nanotechnology. Search on Bibsonomy Data Sci. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Leila Tahmooresnejad, Catherine Beaudry Citation impact of public and private funding on nanotechnology-related publications. Search on Bibsonomy Int. J. Technol. Manag. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Huanyu Li 0001, Rickard Armiento, Patrick Lambrix Extending Ontologies in the Nanotechnology Domain using Topic Models and Formal Topical Concept Analysis on Unstructured Text. Search on Bibsonomy ISWC (Satellites) The full citation details ... 2019 DBLP  BibTeX  RDF
17Jie-lan Ding, Zhesi Shen, Per Ahlgren, Tobias Jeppsson, David Minguillo How does author ethnic diversity affect scientific impact? A study of nanoscience and nanotechnology. Search on Bibsonomy ISSI The full citation details ... 2019 DBLP  BibTeX  RDF
17Lili Wang 0004, Zexia Li Exploring the development of science-based nanotechnology. Search on Bibsonomy ISSI The full citation details ... 2019 DBLP  BibTeX  RDF
17 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2019, Noordwijk, Netherlands, October 2-4, 2019 Search on Bibsonomy DFT The full citation details ... 2019 DBLP  BibTeX  RDF
17Luca Gnoli, Giuseppe Carnicelli, Alessio Parisi, Luca Urbinati, Burim Kabashi, Fabio Michieletti, Sebastian Ignacio Peradotto Ibarra, Marco Vacca, Mariagrazia Graziano, Jimson Mathew, Marco Ottavi Fault Tolerant Photovoltaic Array: A Repair Circuit Based on Memristor Sensing. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Alberto Bosio, Ian O'Connor, Gennaro Severino Rodrigues, Fernanda Lima Kastensmidt, Elena I. Vatajelu, Giorgio Di Natale, Lorena Anghel, Surya Nagarajan, Moritz Fieback, Said Hamdioui Rebooting Computing: The Challenges for Test and Reliability. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Maksim Jenihhin, Matteo Sonza Reorda, Aneesh Balakrishnan, Dan Alexandrescu Challenges of Reliability Assessment and Enhancement in Autonomous Systems. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Antonio J. Sánchez, Yubal Barrios, Lucana Santos, Roberto Sarmiento Evaluation of TMR effectiveness for soft error mitigation in SHyLoC compression IP core implemented on Zynq SoC under heavy ion radiation. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Tsai-Ling Tsai, Jin-Fu Li 0001, Chun-Lung Hsu, Chi-Tien Sun Testing of In-Memory-Computing 8T SRAMs. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Zhen Gao 0001, Jinhua Zhu, Lina Yan, Tong Yan, Pedro Reviriego Reliability Evaluation of Polyphase-filter based Decimators Implemented on SRAM-FPGAs. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Nikos Foutris, Christos Kotselidis, Mikel Luján Simulating Wear-out Effects of Asymmetric Multicores at the Architecture Level. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Aleksandar Simevski, Patryk Skoncej, Cristiano Calligaro, Milos Krstic Scalable and Configurable Multi-Chip SRAM in a Package for Space Applications. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Giulio Gambardella, Johannes Kappauf, Michaela Blott, Christoph Doehring, Martin Kumm, Peter Zipf, Kees A. Vissers Efficient Error-Tolerant Quantized Neural Network Accelerators. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Shanshan Liu 0001, Pedro Reviriego, Kazuteru Namba, Salvatore Pontarelli, Liyi Xiao, Fabrizio Lombardi Low Redundancy Double Error Correction Spotty Codes Combined with Gray Coding for 64 Data Bits Memories of 4-bit Multilevel Cells. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Markus Ulbricht 0002, Rizwan Tariq Syed, Milos Krstic Developing a Configurable Fault Tolerant Multicore System for Optimized Sensor Processing. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Rohan Thomas, Klinsmann J. Coelho Silva Meneses, Bifei Huang, Hao Yang, Israel Koren, Zahava Koren Detecting SEUs in Noisy Digital Imagers with small pixels. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Alessandro Vallero, Stefano Di Carlo Combining Cluster Sampling and ACE analysis to improve fault-injection based reliability evaluation of GPU-based systems. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Alexander Zeh, Manuela Meier, Viola Rieger Parity-Based Concurrent Error Detection Schemes for the ChaCha Stream Cipher. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Feroze Merchant, Anandraj Devarajan, Anik Basu, David Ashen, Brandon Yelton, Prashant D. Joshi High Performance Memory Repair. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Toshinori Hosokawa, Hiroshi Yamazaki, Kenichiro Misawa, Masayoshi Yoshimura, Yuki Hirama, Masavuki Arai A Low Capture Power Oriented X-filling Method Using Partial MaxSAT Iteratively. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Christian M. Fuchs, Pai H. Chou, Xiaoqing Wen, Nadia M. Murillo, Gianluca Furano, Stefan Holst, Antonis Tavoularis, Shyue-Kung Lu, Aske Plaat, Kostas Marinis A Fault-Tolerant MPSoC For CubeSats. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Annachiara Ruospo, Riccardo Cantoro, Ernesto Sánchez 0001, Pasquale Davide Schiavone, Angelo Garofalo, Luca Benini On-line Testing for Autonomous Systems driven by RISC-V Processor Design Verification. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Hideyuki Ichihara, Yuki Maeda, Tsuyoshi Iwagaki, Tomoo Inoue State Encoding with Stochastic Numbers for Transient Fault Tolerant Linear Finite State Machines. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Masayoshi Yoshimura, Yuki Takeuchi, Hiroshi Yamazaki, Toshinori Hosokawa A State Assignment Method to Improve Transition Fault Coverage for Controllers. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Boyang Du, Sarah Azimi, Corrado De Sio, Ludovica Bozzoli, Luca Sterpone On the Reliability of Convolutional Neural Network Implementation on SRAM-based FPGA. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Ana Lasheras, Ramon Canal, Eva Rodríguez, Luca Cassano Protecting RSA Hardware Accelerators against Differential Fault Analysis through Residue Checking. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Fritz G. Previlon, Charu Kalra, David R. Kaeli, Paolo Rech A Comprehensive Evaluation of the Effects of Input Data on the Resilience of GPU Applications. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Avishek Choudhury, Biplab K. Sikdar CORE-VR: A Coherence and Reusability Aware Low Voltage Fault Tolerant Cache in Multicore. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Jiaqiang Li, Pedro Reviriego, Liyi Xiao, Alexander Klockmann Protecting Large Word Size Memories against MCUs with 3-bit Burst Error Correction. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Donald Kline Jr., Stephen Longofono, Rami G. Melhem, Alex K. Jones Predicting Single Event Effects in DRAM. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Lucas Matana Luza, Alexandre Besser, Viyas Gupta, Arto Javanainen, Ali Mohammadzadeh, Luigi Dilillo Effects of Heavy Ion and Proton Irradiation on a SLC NAND Flash Memory. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Daniel Oliveira 0002, Philippe O. A. Navaux, Paolo Rech Increasing the Efficiency and Efficacy of Selective-Hardening for Parallel Applications. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Mahsa Mousavi, Hamid Reza Pourshaghaghi, Henk Corporaal, Akash Kumar 0001 Scatter Scrubbing: A Method to Reduce SEU Repair Time in FPGA Configuration Memory. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Jerrin Pathrose, Leon van de Logt, Hans G. Kerkhoff Analog Test Interface for IEEE 1687 Employing Split SAR Architecture to Support Embedded Instrument Dependability Applications. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Danny Santoso, Hyeran Jeon Understanding of GPU Architectural Vulnerability for Deep Learning Workloads. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Satyadev Ahlawat, Jaynarayan T. Tudu, Manoj Singh Gaur, Masahiro Fujita, Virendra Singh Preventing Scan Attack through Test Response Encryption. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Stefano Di Mascio, Alessandra Menicucci, Eberhard K. A. Gill, Gianluca Furano, Claudio Monteleone On the Criticality of Caches in Fault-Tolerant Processors for Space. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Maria K. Michael, Salvatore Pontarelli, Omer Khan Guest Editorial: Special Section on Defect and Fault Tolerance in VLSI and Nanotechnology. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Gang Wang Nanotechnology: The New Features. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
17Woo-seok Jang, Heeyeul Kwon, Yongtae Park, Hakyeon Lee Predicting the degree of interdisciplinarity in academic fields: the case of nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yu Meng Gender distinctions in patenting: Does nanotechnology make a difference? Search on Bibsonomy Scientometrics The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Gita Ghiasi, Matthew Harsh, Andrea Schiffauerova Inequality and collaboration patterns in Canadian nanotechnology: implications for pro-poor and gender-inclusive policy. Search on Bibsonomy Scientometrics The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Zaida Chinchilla-Rodríguez, Sandra Miguel, Antonio Perianes-Rodríguez, Cassidy R. Sugimoto Dependencies and autonomy in research performance: examining nanoscience and nanotechnology in emerging countries. Search on Bibsonomy Scientometrics The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Giuseppe Riva 0001 BYAXON: Could Nanotechnology "Rewire" an Injured Spinal Cord? Search on Bibsonomy Cyberpsychology Behav. Soc. Netw. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Anna Spyrtou, Leonidas Manou, George Peikos, Panagiota Zachou Facilitating Primary Student Teachers' Development of Critical Thinking Through a Nanotechnology Module. Search on Bibsonomy TECH-EDU The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Alma Jakupovic, Zivorad Kovacevic, Lejla Gurbeta, Almir Badnjevic Review of artificial neural network application in nanotechnology. Search on Bibsonomy MECO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Sujeily Fonseca, Samuel Gonzalez, Brian Rodriguez, Melody Seda, Jorge Bobonis, Alberto Canela, Chaliana Rolon, Josean Bosque, Lexdyel Mendez, Annamary Cartagena, Tahiri Fuentes, Nayda G. Santiago, Ana Nieves-Rosa Educational Nanotechnology Video Game to Inspire Middle and High School Students to Pursue STEM Related Professional Careers. Search on Bibsonomy FIE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2018, Chicago, IL, USA, October 8-10, 2018 Search on Bibsonomy DFT The full citation details ... 2018 DBLP  BibTeX  RDF
17Kristin Imenes, Knut E. Aasmundtveit Educational needs and open education resources in micro- and nanotechnology. Search on Bibsonomy EDUCON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Puneet Ramesh Savanur, Spyros Tragoudas Threshold Voltage Extraction Using Static NBTI Aging. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Abhishek Das, Nur A. Touba Efficient Non-Binary Hamming Codes for Limited Magnitude Errors in MLC PCMs. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Rohan Thomas, Klinsmann J. Coelho Silva Meneses, Israel Koren, Zahava Koren Analysis of Single Event Upsets Based on Digital Cameras with Very Small Pixels. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Lake Bu, Hai Cheng, Michel A. Kinsy Fast Dynamic Device Authentication Based on Lorenz Chaotic Systems. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Elisabeth Baseman, Nathan DeBardeleben, Sean Blanchard, Juston S. Moore, Olena Tkachenko, Kurt B. Ferreira, Taniya Siddiqua, Vilas Sridharan Physics-Informed Machine Learning for DRAM Error Modeling. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Naixing Wang, Irith Pomeranz, Brady Benware, M. Enamul Amyeen, Srikanth Venkataraman Improving the Resolution of Multiple Defect Diagnosis by Removing and Selecting Tests. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Mark Wilkening, Fritz Previlon, David R. Kaeli, Sudhanva Gurumurthi, Steven Raasch, Vilas Sridharan Evaluating the Resilience of Parallel Applications. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Georgios Ioannis Paliaroutis, Pelopidas Tsoumanis, Nestor E. Evmorfopoulos, George Dimitriou, Georgios I. Stamoulis A Placement-Aware Soft Error Rate Estimation of Combinational Circuits for Multiple Transient Faults in CMOS Technology. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Vishal Gupta 0002, Saurabh Khandelwal, Jimson Mathew, Marco Ottavi 45nm Bit-Interleaving Differential 10T Low Leakage FinFET Based SRAM with Column-Wise Write Access Control. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yuta Yamamoto, Kazuteru Namba Construction of Latch Design with Complete Double Node Upset Tolerant Capability Using C-Element. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Semiu A. Olowogemo, William H. Robinson, Daniel B. Limbrick Effects of Voltage and Temperature Variations on the Electrical Masking Capability of Sub-65 nm Combinational Logic Circuits. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Gianluca Furano, Antonis Tavoularis, Lucana Santos, Veronique Ferlet-Cavrois, Cesar Boatella, Ruben Garcia Alia, Pablo Fernández-Martínez, Maria Kastriotou, Vanessa Wyrwoll, Salvatore Danzeca, Maris Tali, Dejan Gacnik, Iztok Kramberger, Lars Juul, Konstantinos Maragos 0001, George Lentaris FPGA SEE Test with Ultra-High Energy Heavy Ions. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Zois-Gerasimos Tasoulas, Ryan Guss, Iraklis Anagnostopoulos Performance-Based and Aging-Aware Resource Allocation for Concurrent GPU Applications. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Pilin Junsangsri, Fabrizio Lombardi Multiple Fault Detection in Nano Programmable Logic Arrays. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Marcello Traiola, Arnaud Virazel, Patrick Girard 0001, Mario Barbareschi, Alberto Bosio Investigation of Mean-Error Metrics for Testing Approximate Integrated Circuits. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Ludovica Bozzoli, Luca Sterpone MATS**: An On-Line Testing Approach for Reconfigurable Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Markus Schütz, Andreas Steininger, Florian Huemer, Jakob Lechner State Recovery for Coarse-Grain TMR Designs in FPGAs Using Partial Reconfiguration. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Zhen Gao 0001, Lina Yan, Jinhua Zhu, Ruishi Han, Pedro Reviriego Analysis of the Effects of Single Event Upsets (SEUs) on User Memory in FPGA Implemented Viterbi Decoders. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Alexandre Coelho, Amir Charif, Nacer-Eddine Zergainoh, Raoul Velazco A Runtime Fault-Tolerant Routing Scheme for Partially Connected 3D Networks-on-Chip. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Pavan Kumar Javvaji, Spyros Tragoudas A Method to Model Statistical Path Delays for Accurate Defect Coverage. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Andrea Floridia, Ernesto Sánchez 0001 Hybrid On-Line Self-Test Strategy for Dual-Core Lockstep Processors. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Danilo Pellegrini, Marco Ottavi, Eugenio Martinelli, Corrado Di Natale Complementary Resistive Switch Sensing. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz Postprocessing Procedure for Reducing the Faulty Switching Activity of a Low-Power Test Set. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Kirankumar S. Momaya, Lalit Lalwani Systems of technological innovation: a review of research activities taking the case of nanotechnology and India. Search on Bibsonomy Technol. Anal. Strateg. Manag. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Bianka Ullmann, Tibor Grasser Transformation: nanotechnology - challenges in transistor design and future technologies. Search on Bibsonomy Elektrotech. Informationstechnik The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Vivek Kant Nanotechnology and HFE: critically engaging human capital in small-scale robotics research. Search on Bibsonomy Cogn. Technol. Work. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Hamid Tayebi Khosroshahi, Behzad Abedi, Sabalan Daneshvar, Yashar Sarbaz, Abolhassan Shakeri Bavil Future of the Renal Biopsy: Time to Change the Conventional Modality Using Nanotechnology. Search on Bibsonomy Int. J. Biomed. Imaging The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Laurent R. Bergé, Thomas Scherngell, Iris Wanzenböck Bridging centrality as an indicator to measure the 'bridging role' of actors in networks: An application to the European Nanotechnology co-publication network. Search on Bibsonomy J. Informetrics The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Marina A. Kornilina, Viktoriia O. Podryga, Sergey V. Polyakov, Dmitry V. Puzyrkov, Mikhail V. Yakoboskiy Cloud Service for Solution of Promising Problems of Nanotechnology. Search on Bibsonomy Supercomput. Front. Innov. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Azam Sazvar, Mahmood Yahyazadehfar, Hassanali Aghajani Improving knowledge sharing, creation, and innovation performance in nanotechnology firms: an application of the hybrid model of Kano and QFD. Search on Bibsonomy Int. J. Knowl. Learn. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Sercan Ozcan, Nazrul Islam 0002 Patent information retrieval: approaching a method and analysing nanotechnology patent collaborations. Search on Bibsonomy Scientometrics The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Alexander I. Terekhov Bibliometric spectroscopy of Russia's nanotechnology: 2000-2014. Search on Bibsonomy Scientometrics The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Fengchao Liu, Na Zhang, Cong Cao An evolutionary process of global nanotechnology collaboration: a social network analysis of patents at USPTO. Search on Bibsonomy Scientometrics The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Chunjuan Luan, Alan L. Porter Insight into the Disciplinary Structure of Nanoscience & Nanotechnology. Search on Bibsonomy J. Data Inf. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Fatima Mustafa, Rabeay Y. A. Hassan, Silvana Andreescu Multifunctional Nanotechnology-Enabled Sensors for Rapid Capture and Detection of Pathogens. Search on Bibsonomy Sensors The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Kobi Leins Regulation of the Use of Nanotechnology in Armed Conflict. Search on Bibsonomy IEEE Technol. Soc. Mag. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Shang-Rong Fang, Cheng-Wei Tai, Rung-Bin Lin On Benchmarking Pin Access for Nanotechnology Standard Cells. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Krishna Naishadham, Elena Bekyarova, Patrizia Savi Passive nanotechnology based sensors for the remote detection of environmental pollutants impacting public health. Search on Bibsonomy IEEE SENSORS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Vasily Bunakov, Brian Matthews Metadata for Nanotechnology: Interoperability Aspects. Search on Bibsonomy MTSR The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Stephen M. Goodnick Plenary speakers: Nanotechnology enabled pathways for energy conversion. Search on Bibsonomy EUROCON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Nicolas Augustus Rongione, Huuduy Hguyen, Huan Wu, Yongjie Hu Nanotechnology for lower grade waste heat recovery. Search on Bibsonomy NEMS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Brandon Sorge, Grant Fore, Eric Nunnally, Gina Gibau, Mangilal Agarwal Nanotechnology experiences for students and teachers (NEST): Enhancing teachers' self-efficacy and their understanding of STEM career opportunitie. Search on Bibsonomy FIE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Justin L. Hess, Anthony Chase, Dan Minner, Maher E. Rizkalla, Mangilal Agarwal An evaluation of a research experience for teachers in nanotechnology. Search on Bibsonomy FIE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2017, Cambridge, United Kingdom, October 23-25, 2017 Search on Bibsonomy DFT The full citation details ... 2017 DBLP  BibTeX  RDF
17Wen Huang Miniaturization of on-chip passive electronic devices by silicon nitride self-rolled-up membrane microtube nanotechnology Search on Bibsonomy 2017   RDF
17Frank Sill Torres, Pedro Fausto Rodrigues Leite, Rolf Drechsler Unintrusive aging analysis based on offline learning. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Taniya Siddiqua, Vilas Sridharan, Steven E. Raasch, Nathan DeBardeleben, Kurt B. Ferreira, Scott Levy, Elisabeth Baseman, Qiang Guan Lifetime memory reliability data from the field. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Pai-Shun Ting, John P. Hayes Eliminating a hidden error source in stochastic circuits. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1308 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license