The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for prefetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1992 (15) 1993-1994 (17) 1995-1996 (23) 1997 (18) 1998-1999 (31) 2000 (23) 2001 (30) 2002 (37) 2003 (28) 2004 (53) 2005 (47) 2006 (28) 2007 (43) 2008 (31) 2009 (23) 2010 (15) 2011-2012 (26) 2013-2015 (17) 2016-2018 (19) 2019-2020 (21) 2021-2022 (18) 2023-2024 (12)
Publication types (Num. hits)
article(139) inproceedings(434) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 568 occurrences of 320 keywords

Results
Found 575 publication records. Showing 575 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Chuanpeng Li, Kai Shen Managing Prefetch Memory for Data-Intensive Online Servers. Search on Bibsonomy FAST The full citation details ... 2005 DBLP  BibTeX  RDF
20Holger Kirchner Using Context of a Mobile User to Prefetch Relevant Information. Search on Bibsonomy OTM Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Eugin Hyun, Kyo-Yong Han, Kwang-Su Seong Design of PCI 2.2 Target Controller to Support Prefetch Request. Search on Bibsonomy CDES The full citation details ... 2005 DBLP  BibTeX  RDF
20Hsiao-Hsi Wang, Kuan-Ching Li, Kuo-Jen Wang, Ssu-Hsuan Lu, Chun-Chieh Yang Load Balancing Design Issues on Prefetch-Based DSM Systems. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prefetching strategy, home-based, DSM system
20Eunsam Kim, Jonathan C. L. Liu Optimizing Prefetch in a Residential Gateway with Networked Storage Systems. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Young Kwan Ju, Bongyong Uh, Sukil Kim The Bandwidth Expansion Effectiveness of Cache Levels Block Prefetch. Search on Bibsonomy ISHPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Antonis Hondroulis, Costas Harizakis, Peter Triantafillou Optimal Cache Memory Exploitation for Continuous Media: To Cache or to Prefetch? Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF simulation, modeling, caching, statistical analysis, prefetching, video streams, multimedia servers
20Radi Romansky, Yordan Lazarov Selective stack prefetch method. Search on Bibsonomy CompSysTech The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Inchul Choi, Chanik Park Enhancing Prediction Accuracy in PCM-Based File Prefetch by Constrained Pattern Replacement Algorithm. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Dong-Joo Park, Hyoung-Joo Kim 0001 Prefetch policies for large objects in a Web-enabled GIS application. Search on Bibsonomy Data Knowl. Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Javed I. Khan, Qingping Tao Prefetch scheduling for composite hypermedia. Search on Bibsonomy ICC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Haiming Lu, Zengxiang Lu, Yanda Li Prefetch Agent: Virtual Internet Based on CATV. Search on Bibsonomy ICALT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Javed I. Khan, Qingping Tao Partial Prefetch for Faster Surfing in Composite Hypermedia. Search on Bibsonomy USITS The full citation details ... 2001 DBLP  BibTeX  RDF
20Peter Triantafillou, Antonis Hondroulis, Costas Harizakis Optimal Cache Memory Exploitation for Continuous Media: To Cache or to Prefetch? Search on Bibsonomy Multimedia Information Systems The full citation details ... 2001 DBLP  BibTeX  RDF
20Murali Annavaram Prefetch mechanisms that acquire and exploit application specific knowledge. Search on Bibsonomy 2001   RDF
20Michael J. Carey 0001 Review - Context-Based Prefetch for Implementing Objects on Relations. Search on Bibsonomy ACM SIGMOD Digit. Rev. The full citation details ... 2000 DBLP  BibTeX  RDF
20Jonas Skeppstedt, Michel Dubois 0001 Compiler Controlled Prefetching for Multiprocessors Using Low-Overhead Traps and Prefetch Engines. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Jung-Ho Ahn 0001, Hyoung-Joo Kim 0001 Dynamic SEOF: An Adaptable Object Prefetch Policy for Object-oriented Database Systems. Search on Bibsonomy Comput. J. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20N. Swaminathan, S. V. Raghavan Intelligent Prefetch in WWW Using Client Behavior Characterization. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Web Client Characterization, Genetic Algorithms, Prefetching
20Shun-Zheng Yu, Hisashi Kobayashi A new prefetch cache scheme. Search on Bibsonomy GLOBECOM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Tatiana Gadelha Serra dos Santos, Sergio Bampi Analyzing Instruction Prefetch Schemes in Superscalar Architectures. Search on Bibsonomy PDPTA The full citation details ... 2000 DBLP  BibTeX  RDF
20Philip A. Bernstein, Shankar Pal, David Shutt Context-Based Prefetch for Implementing Objects on Relations. Search on Bibsonomy VLDB The full citation details ... 1999 DBLP  BibTeX  RDF
20Eliot L. Siegel, Bruce I. Reiner Recommendations for image prefetch or film digitization strategy based on an analysis of an historic radiology image database. Search on Bibsonomy J. Digit. Imaging The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Zhimei Jiang, Leonard Kleinrock An adaptive network prefetch scheme. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh Investigation of a Prefetch Model for Low Bandwidth Networks. Search on Bibsonomy WOWMOM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Norman P. Jouppi Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache Prefetch Buffers. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20David Kroft Lockup-Free Instruction Fetch/Prefetch Cache Organization. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20David Kroft Retrospective: Lockup-Free Instruction Fetch/Prefetch Cache Organization. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Norman P. Jouppi Retrospective: Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Toshio Sunaga, Koji Hosokawa, Yutaka Nakamura, Manabu Ichinose, Yasuyuki Igarashi An eight-bit prefetch circuit for high-bandwidth DRAM's. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Tien-Fu Chen Reducing memory penalty by a programmable prefetch engine for on-chip caches. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Jung-Ho Ahn 0001, Hyoung-Joo Kim 0001 SEOF: An Adaptable Object Prefetch Policy for Object-Oriented Database Systems. Search on Bibsonomy ICDE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Gurmeet Singh Manku, Mukul R. Prasad, David A. Patterson 0001 A new voting based hardware data prefetch scheme. Search on Bibsonomy HiPC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Joon-Seo Yim, Hee-Choul Lee, Tae-Hoon Kim, Bong-Il Park, Chang-Jae Park, In-Cheol Park, Chong-Min Kyung Single cycle access cache for the misaligned data and instruction prefetch. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Toshio Sunaga A full bit prefetch DRAM sensing circuit. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Chaitanya Tumuluri, Alok N. Choudhary Scalable Software Latency Hiding Schemes: Evaluation of the Poststore and Prefetch Options. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Tien-Fu Chen An effective programmable prefetch engine for on-chip caches. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Ramesh C. Agarwal, Fred G. Gustavson, Mohammad Zubair Improving performance of linear algebra algorithms for dense matrices, using algorithmic prefetch. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Minsuk Lee, Sang Lyul Min, Chong-Sang Kim A worst case timing analysis technique for instruction prefetch buffers. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Carsten Andreas Gerlhof, Alfons Kemper Prefetch Support Relations in Object Bases. Search on Bibsonomy POS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Howard P. Katseff, Bethany S. Robinson Predictive Prefetch in the Nemesis Multimedia Information Service. Search on Bibsonomy ACM Multimedia The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Tzi-cker Chiueh Sunder: a programmable hardware prefetch architecture for numerical loops. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Lanfranco Lopriore Line fetch/prefetch in a stack cache memory. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Knuth Stener Grimsrud, James K. Archibald, Brent E. Nelson Multiple Prefetch Adaptive Disk Caching. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF disk caching algorithm, adaptive prefetching scheme, average service time, UNIX minicomputers, cache, storage management, trace-driven simulation, buffer storage, magnetic disc storage
20Seong Baeg Kim, Myung Soon Park, Sun-Ho Park, Sang Lyul Min, Heonshik Shin, Chong-Sang Kim, Deog-Kyoon Jeong Threaded prefetching: An adaptive instruction prefetch mechanism. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Minsuk Lee, Sang Lyul Min, Chang Yun Park, Young Hyun Bae, Heonshik Shin, Chong-Sang Kim A Dual-Mode Instruction Prefetch Scheme for Improved Worst Case and Average Case Program Execution Times. Search on Bibsonomy RTSS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Honesty C. Young, Eugene J. Shekita An Intelligent I-Cache Prefetch Mechanism. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Ivan Sklenár Prefetch unit for vector operations on scalar computers. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20Anujan Varma, Gunjan Sinha A class of prefetch schemes for on-chip data caches. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20Ivan Sklenár Prefetch unit for vector operations on scalar computers. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20F. Warren Shih, Tze Chiang Lee, Shauchi Ong A file-based adaptive prefetch caching design. Search on Bibsonomy ICCD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Norman P. Jouppi Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. Search on Bibsonomy ISCA The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Wen-mei W. Hwu, Thomas M. Conte A Simulation Study of Simultaneous Vector Prefetch Performance in Multiprocessor Memory Subsystems (Extended Abstract). Search on Bibsonomy SIGMETRICS The full citation details ... 1989 DBLP  BibTeX  RDF
20Gregory F. Grohoski, Janak H. Patel A performance model for instruction prefetch in pipelined instruction units. Search on Bibsonomy ICPP The full citation details ... 1982 DBLP  BibTeX  RDF
20David Kroft Lockup-Free Instruction Fetch/Prefetch Cache Organization. Search on Bibsonomy ISCA The full citation details ... 1981 DBLP  BibTeX  RDF
19Peng Gu, Jun Wang 0001, Yifeng Zhu, Hong Jiang 0001, Pengju Shang A Novel Weighted-Graph-Based Grouping Algorithm for Metadata Prefetching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF algorithm, metadata, Prefetch, storage
19Juan Fang, Xiaocui Wang A Prefetching Coordinate Algorithm Which Can Be Used in Multi-core Processors. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetching cooordinator, multi-processor prefetching, prefetch, multi-core processor
19Xiaowei Zhang, Donggang Cao, Gang Tian, Xiangqun Chen Data Prefetching Driven by User Preference and Global Coordination for Mobile Environments. Search on Bibsonomy GPC Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF global coordination, mobile computing, context-aware, user preference, data prefetch
19R. Manikantan, R. Govindarajan Focused prefetching: performance oriented prefetching based on commit stalls. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF commit stalls, prefetch
19Razvan Cheveresan, Matthew Ramsay, Chris Feucht, Ilya Sharapov Characteristics of workloads used in high performance and technical computing. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction decomposition, cache coherency, workload characterization, HPC, data locality, software prefetch
19Saurabh Sharma, Jesse G. Beu, Thomas M. Conte Spectral prefetcher: An effective mechanism for L2 cache prefetching. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF absolute and differential domain, adaptive, memory, Prefetch, autocorrelation, frequency, L2 cache
19Yao Guo 0001, Mahmoud Ben Naser, Csaba Andras Moritz PARE: a power-aware hardware data prefetching engine. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prefetch engine, low power, energy efficiency, data prefetching
19Mingyan Liu, Manish Karir, John S. Baras Caching and Multicasting in DBS Systems. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF DBS, air-cache, spontaneous multicast, multicasting, caching, prefetch
19Stefan Lüpke Accelerated Access to Shared Distributed Arrays on Distribued Memory Systems by Access Objects. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF access objects, data prefetch, distributed data structures, latency hiding, virtual shared memory
19Kimming So, Rudolph N. Rechtschaffen Cache Operations by MRU Change. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF MRU change, most recently used, prefetch algorithms, performance evaluation, performance, storage management, CPU, content-addressable storage, virtual storage, replacement algorithms, memory access, cache simulation, set associative caches
10Huaiyu Zhu 0002, Yong Chen 0001, Xian-He Sun Timing local streams: improving timeliness in data prefetching. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetching performance, prefetching simulation, cache memory, data prefetching
10Ben Liang 0001, Stephen Drew, Da Wang Performance of multiuser network-aware prefetching in heterogeneous wireless systems. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mobile prefetching, Performance modelling, Heterogeneous wireless networks, Queuing analysis
10Stephen Roderick Hines, Yuval Peress, Peter Gavin, David B. Whalley, Gary S. Tyson Guaranteeing instruction fetch behavior with a lookahead instruction fetch engine (LIFE). Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF l0/filter cache, lookahead instruction fetch engine (life), tagless hit instruction cache (th-ic)
10Hyo J. Lee, In Hwan Doh, Sam H. Noh RPP: reference pattern based prefetching controller. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefectching, reference pattern
10Chang Joo Lee, Veynu Narasiman, Onur Mutlu, Yale N. Patt Improving memory bank-level parallelism in the presence of prefetching. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Eiman Ebrahimi, Onur Mutlu, Chang Joo Lee, Yale N. Patt Coordinated control of multiple prefetchers in multi-core systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefetching, multi-core, feedback control, memory systems
10Ibrahim Hur, Calvin Lin Feedback mechanisms for improving probabilistic memory prefetching. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Jay Chen, Lakshminarayanan Subramanian, Jinyang Li 0001 RuralCafe: web search in the rural developing world. Search on Bibsonomy WWW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intermittent network, low bandwidth, world wide web, web search
10Jinsuk Baek, Paul S. Fisher, Mingyung Kwak FI-based file access predictor. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF file access prediction, finite inductive sequences, following, ruling
10Roberto Giorgi, Zdravko Popovic, Nikola Puzovic Exploiting DMA to enable non-blocking execution in Decoupled Threaded Architecture. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Pedro Diaz, Marcelo Cintra Stream chaining: exploiting multiple levels of correlation in data prefetching. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data prefetching
10Savvas Gitzenis, Nicholas Bambos Joint Transmitter Power Control and Mobile Cache Management in Wireless Computing. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mobile computing, Mobile Computing, wireless networks, Architectures, Energy Efficiency, Dynamic Programming, Caching, Wireless, Prefetching, Power Control, Web Browsing, Algorithm/protocol design and analysis, mobile communication systems, Mobile communication systems, Access Latency
10Antonis Sidiropoulos 0001, George Pallis 0001, Dimitrios Katsaros 0001, Konstantinos Stamos, Athena Vakali, Yannis Manolopoulos Prefetching in Content Distribution Networks via Web Communities Identification and Outsourcing. Search on Bibsonomy World Wide Web The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data dissemination techniques on the web, internet and web-based, content distribution networks, web communities, web data mining, web prefetching
10Youjip Won, Jongmin Kim, Wonmin Jung Energy-aware disk scheduling for soft real-time I/O requests. Search on Bibsonomy Multim. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multimedia, Mobile device, Energy management, Disk scheduling, Soft real-time
10Akshat Verma, Sandeep Sen Combating I-O bottleneck using prefetching: model, algorithms, and ramifications. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Prediction sequence, Sorting, Prefetching, Memory hierarchy, External memory algorithms
10Jelena Trajkovic, Alexander V. Veidenbaum, Arun Kejariwal Improving SDRAM access energy efficiency for low-power embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded processors and low power, fetch buffer, write-combining buffer, SDRAM
10Ben Wiedermann, Ali Ibrahim, William R. Cook Interprocedural query extraction for transparent persistence. Search on Bibsonomy OOPSLA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF databases, static analysis, programming languages, attribute grammars, object-relational mapping
10Haiming Liu 0001, Michael Ferdman, Jaehyuk Huh 0001, Doug Burger Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Runahead Threads to improve SMT performance. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Guofu Feng, Xiaoshe Dong, Siyuan Ma, Jinghua Feng, Xuhao Wang A Profile-based Memory Access Optimizing Technology on CBE Architecture. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Baoqiang Yan, Philip J. Rhodes I/O friendly data parallelization for spatial computation. Search on Bibsonomy Mardi Gras Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Luis M. Ramos, José Luis Briz, Pablo E. Ibáñez, Víctor Viñals Low-Cost Adaptive Data Prefetching. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Michael Bader Exploiting the Locality Properties of Peano Curves for Parallel Matrix Multiplication. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Bogdan F. Romanescu, Michael E. Bauer, Sule Ozev, Daniel J. Sorin Reducing the impact of intra-core process variability with criticality-based resource allocation and prefetching. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF microarchitecture, process variability
10Adrian Sterca Choosing the Level of Stream Adaptation in TCP-Friendly Congestion Controlled Video Streaming Servers. Search on Bibsonomy ISM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Shahram Ghandeharizadeh, Shahin Shayandeh An Evaluation of Two Domical Block Replacement Techniques for Streaming Media in Wireless Home Networks. Search on Bibsonomy ISM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Zhe Zhang 0005, Kyuhyung Lee, Xiaosong Ma, Yuanyuan Zhou 0001 PFC: Transparent Optimization of Existing Prefetching Strategies for Multi-Level Storage Systems. Search on Bibsonomy ICDCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Hao Yu 0008, Hubertus Franke, Giora Biran, Amit Golander, Terry Nelms, Brian M. Bass Stateful hardware decompression in networking environment. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Seung Woo Son 0001, Mahmut T. Kandemir A Prefetching Algorithm for Multi-speed Disks. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Wen-Wen Hsieh, Po-Yuan Chen, Chun-Yao Wang, TingTing Hwang A Bus-Encoding Scheme for Crosstalk Elimination in High-Performance Processor Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Robert Sisneros, Chad Jones, Jian Huang 0007, Jinzhu Gao, Byung-Hoon Park, Nagiza F. Samatova A Multi-Level Cache Model for Run-Time Optimization of Remote Visualization. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distributed visualization, performance analysis, caching, Remote visualization
10Zhen He, Alonso Marquez Path and cache conscious prefetching (PCCP). Search on Bibsonomy VLDB J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Clustering, Databases, Caching, Prefetching
10Priya Nagpurkar, Harold W. Cain, Mauricio J. Serrano, Jong-Deok Choi, Chandra Krintz Call-chain Software Instruction Prefetching in J2EE Server Applications. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Reza Azimi, Livio Soares, Michael Stumm, Thomas Walsh 0002, Angela Demke Brown Path: page access tracking to improve memory management. Search on Bibsonomy ISMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF page access tracking, page re-, translation lookaside buffer
10Amit Kumar 0008, Ram Huggahalli Impact of Cache Coherence Protocols on the Processing of Network Traffic. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 575 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license