The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for repeater with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1977 (15) 1978-1984 (23) 1986-1998 (24) 1999-2000 (17) 2001-2002 (25) 2003 (24) 2004 (15) 2005 (33) 2006 (31) 2007 (38) 2008 (36) 2009 (20) 2010 (15) 2011-2012 (21) 2013-2014 (20) 2015-2016 (24) 2017-2018 (19) 2019 (21) 2020-2021 (23) 2022 (19) 2023 (29) 2024 (5)
Publication types (Num. hits)
article(219) inproceedings(276) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 204 occurrences of 115 keywords

Results
Found 497 publication records. Showing 497 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Pham Thanh Hiep, Ryuji Kohno Optimizing Position of Repeaters in Distributed MIMO Repeater System for Large Capacity. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Chien Aun Chan, Manik Attygalle, Ampalavanapillai Nirmalathas Remote Repeater-Based EPON With MAC Forwarding for Long-Reach and High-Split-Ratio Passive Optical Networks. Search on Bibsonomy JOCN The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Yong-Sik Han, Woon-Geun Yang Kurtosis Driven Variable Step-Size Normalized Least Mean Square Algorithm for RF Repeater. Search on Bibsonomy J. Inform. and Commun. Convergence Engineering The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Louis Salvail, Momtchil Peev, Eleni Diamanti, Romain Alléaume, Norbert Lütkenhaus, Thomas Länger Security of trusted repeater quantum key distribution networks. Search on Bibsonomy J. Comput. Secur. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Qunchao Feng, Yuanzhi Chen, Weitao Du An improved feedback cancelling method for on-channel repeater. Search on Bibsonomy WCNIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Flavio Zabini, Matteo Mazzotti, Davide Dardari, Oreste Andrisano An Upper Bound on the Probability of Instability of a DVB-T/H Repeater with a Digital Echo Canceller. Search on Bibsonomy GLOBECOM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Iraklis Georgas, Ioannis Petropoulos, Konstantinos N. Voudouris, Panagiotis Tsiakas, Nikos Athanasopoulos, Mikael V. H. Cohen, Baruch Cyzs, George Agapiou, Andreas Rigas Relay vs. Repeater Architectures in WiMAX. Search on Bibsonomy MobiMedia The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Subodh Karve, Sachin Kamble Building wireless repeater operated by solar power. Search on Bibsonomy ICWET The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Saad Mahboob, Shawn P. Stapleton, Sami Muhaidat Adaptive interference cancellation system for a WCDMA repeater. Search on Bibsonomy CCECE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Pham Thanh Hiep, Ryuji Kohno Analysis performance of decode-and-forward scheme in distributed MIMO repeater system. Search on Bibsonomy ISITA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Youngki Lee, Jeongpyo Kim, Jaehoon Choi A Compact On-Frequency Indoor Repeater Antenna with High Isolation for WCDMA Applications. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Chia-Chun Tsai, Jan-Ou Wu, Trong-Yen Lee Maximal Delay Reduction for RLC-Based Multi-Source Multi-Sink Bus with Repeater Insertion. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Alexandre Vervisch-Picois, Nel Samama Interference Mitigation in a Repeater and Pseudolite Indoor Positioning System. Search on Bibsonomy IEEE J. Sel. Top. Signal Process. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Nishaanthan Nadarajah, Chang-Joon Chae, An Vu Tran, Ampalavanapillai Nirmalathas Optical Layer Local Area Network Emulation in a Multifunctional Repeater-Based Optical Access Network. Search on Bibsonomy JOCN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Monica Figueiredo, Rui L. Aguiar Clock Repeater Characterization for Jitter-Aware Clock Tree Synthesis. Search on Bibsonomy PATMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Peter Larsson, Mikael Prytz MIMO On-Frequency Repeater with Self-Interference Cancellation and Mitigation. Search on Bibsonomy VTC Spring The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18R. Neil Braithwaite, Scott Carichner, Mark Cope Echo Cancellation for a Wide Bandwidth Mixed-Mode WCDMA/GSM Repeater with Digital Sub-Band Filtering. Search on Bibsonomy VTC Spring The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Brett T. Walkenhorst, Mary Ann Ingram Repeater-Assisted Capacity Enhancement (RACE) for MIMO Links in a Line-of-Sight Environment. Search on Bibsonomy ICC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Brett T. Walkenhorst, Mary Ann Ingram Multiple Repeater Placement for Assisting Long-Range LOS MIMO Links. Search on Bibsonomy GLOBECOM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Bong Hwa Jeong, Jongwon Lee, Yin Jae Lee, Tae Jin Kang, Joo Hyeon Lee, Duck Hwa Hong, Jae Hoon Kim, Eun Ryeong Lee, Min Chang Kim, Kyung Ha Lee, Sang Il Park, Jong Ho Son, Sang Kwon Lee, Seong Nyuh Yoo, Sung Mook Kim, Tae Woo Kwon, Jin-Hong Ahn, Yong Tak Kim A 1.35V 4.3GB/s 1Gb LPDDR2 DRAM with controllable repeater and on-the-fly power-cut scheme for low-power and high-speed mobile application. Search on Bibsonomy ISSCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Renatas Jakushokas, Eby G. Friedman Minimizing Noise Via Shield and Repeater Insertion. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18JaeSeon Jang, NohHoon Myung Downlink Coverage and Capacity of a Distributed Repeater System in a WCDMA Multicell Environment. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Tero Isotalo, Panu Lähdekorpi, Jukka Lempiäinen Improving HSDPA Indoor Coverage and Throughput by Repeater and Dedicated Indoor System. Search on Bibsonomy EURASIP J. Wirel. Commun. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Uwe Dorner, Alexander Klein, Dieter Jaksch A quantum repeater based on decoherence free subspaces. Search on Bibsonomy Quantum Inf. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Sung Ik Park, So Ra Park, Homin Eum, Jae-Young Lee, Yong-Tae Lee, Heung Mook Kim Equalization On-Channel Repeater for Terrestrial Digital Multimedia Broadcasting System. Search on Bibsonomy IEEE Trans. Broadcast. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Heung Mook Kim, Sung Ik Park, Jae-Hyun Seo, Homin Eum, Yong-Tae Lee, Soo In Lee, Hyuckjae Lee Modulation and Pre-Equalization Method to Minimize Time Delay in Equalization Digital On-Channel Repeater. Search on Bibsonomy IEEE Trans. Broadcast. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Vinita V. Deodhar, Jeffrey A. Davis Optimal Voltage Scaling, Repeater Insertion, and Wire Sizing for Wave-Pipelined Global Interconnects. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Falah R. Awwad, Mohamed Nekili, Venkatanarayana Ramachandran, Mohamad Sawan On Modeling of Parallel Repeater-Insertion Methodologies for SoC Interconnects. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Maged Ghoneima, Muhammad M. Khellah, James W. Tschanz, Yibin Ye, Nasser A. Kurd, Javed Barkatullah, Srikanth Nimmagadda, Yehea I. Ismail, Vivek K. De Skewed Repeater Bus: A Low-Power Scheme for On-Chip Buses. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Moohong Lee, Byungjik Keum, Yunmok Son, Hwang Soo Lee, Ju Tae Song, Joo-Wan Kim An Efficient Hardware Simulator for the Design of a WCDMA Interference Cancellation Repeater. Search on Bibsonomy VTC Fall The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Marcos Antonio Neves, Richard Demo Souza On the influence of a CDMA cellular repeater in the capacity of a donor base station. Search on Bibsonomy ISWCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Chihong Cho, Honggang Zhang 0001, Masao Nakagawa A Short Delay Relay Scheme Using Shared Frequency Repeater for UWB Impulse Radio. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Rajeevan Chandel, Sankar Sarkar, Rajendra Prasad Agarwal An analysis of interconnect delay minimization by low-voltage repeater insertion. Search on Bibsonomy Microelectron. J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Xun Liu, Yuantao Peng, Marios C. Papaefthymiou RIP: An Efficient Hybrid Repeater Insertion Scheme for Low Power Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
18Rajeevan Chandel, Sankar Sarkar, Ashwani Kumar Chandel Investigations on Short-Circuit Power Dissipation in Repeater Loaded VLSI Interconnects. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yong-Tae Lee, Sung Ik Park, Homin Eum, Jae-Hyun Seo, Heung Mook Kim, Seung Won Kim, Jong-Soo Seo A Design of Equalization Digital On-Channel Repeater for Single Frequency Network ATSC System. Search on Bibsonomy IEEE Trans. Broadcast. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hang Wang, Jingbo Guo, Zanji Wang Evaluation of Security for DSSS Under Repeater Jamming. Search on Bibsonomy ICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hang Wang, Jingbo Guo, Zanji Wang Feasibility Assessment of Repeater Jamming Technique for DSSS. Search on Bibsonomy WCNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sunmi Kim, Heedong Choi, Yongwan Park, Gyuyoung Han, Chaehwan Cho Repeater Effect Suppression Method for TDOA algorithm in CDMA system. Search on Bibsonomy WPNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Alexander Ferworn, Nhan Tran, James Tran, Gerry Zarnett, Farrokh Janabi-Sharifi WiFi repeater deployment for improved communication in confined-space urban disaster search. Search on Bibsonomy SoSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Benjamin Friedlander, Eli Pasternak A transparent repeater for digital communication signals. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Masahiro Nomura, Taku Ohsawa, Koichi Takeda 0001, Yoetsu Nakazawa, Yoshinori Hirota, Yasuhiko Hagihara, Naoki Nishi An Automatic Bi-Directional Bus Repeater Control Scheme Using Dynamic Collaborative Driving Techniques. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Seung Won Kim, Yong-Tae Lee, Sung Ik Park, Homin Eum, Jae-Hyun Seo, Heung Mook Kim Equalization digital on-channel repeater in the single frequency networks. Search on Bibsonomy IEEE Trans. Broadcast. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Man Chung Hon Spec-based flip-flop and latch repeater planning. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hang Wang, Zanji Wang, Jingbo Guo Performance of DSSS against Repeater Jamming. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Paulo Sousa, Luis Lino Ferreira, Mário Alves Repeater vs. Bridge-Based Hybrid Wired/Wireless PROFIBUS Networks: a Comparative Performance Analysis. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Chia-Chun Tsai, Jan-Ou Wu, Trong-Yen Lee, Rong-Shue Hsiao Propagation Delay Minimization on RLC-Based Bus with Repeater Insertion. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Chung-Yen Lin, Fan-Kai Lin, Chieh Hua Lin, Li-Wei Lai, Hsiu-Jun Hsu, Shu-Hwa Chen, Chao A. Hsiung POWER: PhylOgenetic WEb Repeater - an integrated and user-optimized framework for biomolecular phylogenetic analysis. Search on Bibsonomy Nucleic Acids Res. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Radu M. Secareanu, Suman K. Banerjee, Olin L. Hartin, Virgilio Fernandez, Eby G. Friedman Managing substrate and interconnect noise from high performance repeater insertion in a mixed-signal environment. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Toshiyuki Maeyama, Takushi lnoue Development of cellular repeater system with multiple radio echo suppresser. Search on Bibsonomy PIMRC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Michael Moreinis, Arkadiy Morgenshtein, Israel A. Wagner, Avinoam Kolodny Repeater insertion combined with LGR methodology for on-chip interconnect timing optimization. Search on Bibsonomy ICECS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Chihong Cho, Honggang Zhang 0005, Masao Nakagawa A UWB repeater with a short relaying-delay for range extension. Search on Bibsonomy WCNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Nisar Ahmed, Mohammad H. Tehranipour, Dian Zhou, Mehrdad Nourani Frequency driven repeater insertion for deep submicron. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
18Yuantao Peng, Xun Liu Global interconnect optimization with simultaneous macrocell placement and repeater insertion. Search on Bibsonomy SoCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Pasquale Cocchini A methodology for optimal repeater insertion in pipelined interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Khalil Salehian, Bernard Caron, Michele Guillet Using on-channel repeater to improve reception in DTV broadcasting service area. Search on Bibsonomy IEEE Trans. Broadcast. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Gary J. Sgrignoli DTV repeater emission mask analysis. Search on Bibsonomy IEEE Trans. Broadcast. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Giuseppe S. Garcea, Nick van der Meijs, Ralph H. J. M. Otten Analytic model for area and power constrained optimal repeater insertion. Search on Bibsonomy ESSCIRC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Atul Katoch, Sanjeev K. Jain, Maurice Meijer Aggressor aware repeater circuits for improving on-chip bus performance and robustness. Search on Bibsonomy ESSCIRC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Giuseppe S. Garcea, N. P. van der Meijs, Ralph H. J. M. Otten Simultaneous Analytic Area and Power Optimization for Repeater Insertion. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Khalil Salehian, Michele Guillet, Bernard Caron, Andre Kennedy On-channel repeater for digital television broadcasting service. Search on Bibsonomy IEEE Trans. Broadcast. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Pasquale Cocchini Concurrent flip-flop and repeater insertion for high performance integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Mohammad N. Patwary, Predrag B. Rapajic, Ian J. Oppermann Dynamic QoS Guarantee with Repeater in Power Controlled WCDMA Urban Environment. Search on Bibsonomy PWC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Pawan Kapur, Gaurav Chandra, Krishna Saraswat Power estimation in global interconnects and its reduction using a novel repeater optimization methodology. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF repeaters, power dissipation, global interconnects
18Wan Choi 0001, Bong Youl Cho, Tae Won Ban Automatic on-off switching repeater for DS/CDMA reverse link capacity improvement. Search on Bibsonomy IEEE Commun. Lett. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Probir Sarkar, Cheng-Kok Koh Repeater block planning under simultaneous delay and transition time constraints. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Norman Kojima, Yukiko Parameswar, Christian Klingner, Yukio Ohtaguro, Masataka Matsui, Shigeaki Iwasa, Tatsuo Teruyama, Takayoshi Shimazawa, Hideki Takeda, Kouji Hashizume, Haruyuki Tago, Masaaki Yamada Repeater insertion method and its application to a 300MHz 128-bit 2-way superscalar microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Probir Sarkar, Vivek Sundararaman, Cheng-Kok Koh Routability-driven repeater block planning for interconnect-centric floorplanning. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Rory McInerney, Kurt Leeper, Troy Hill, Heming Chan, Bulent Basaran, Lance McQuiddy Methodology for repeater insertion management in the RTL, layout, floorplan and fullchip timing databases of the Itanium microprocessor. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RC delay, routing, timing, estimation, microprocessors, floorplan, repeaters
18Ankireddy Nalamalpu, Wayne P. Burleson Repeater insertion in deep sub-micron CMOS: ramp-based analytical model and placement sensitivity analysis. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Sung Ki Park, Pyeong-Jung Song, Geun Sik Bae Joint optimization of radio repeater location and linking in WLL systems with 2.3 GHz frequency band. Search on Bibsonomy ICC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Chung-Ping Chen, Noel Menezes Noise-Aware Repeater Insertion and Wire-Sizing for On-Chip Interconnect Using Hierarchical Moment-Matching. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Yehea I. Ismail, Eby G. Friedman Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18John Flick Definitions of Managed Objects for IEEE 802.12 Repeater Devices. Search on Bibsonomy RFC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Sudhakar Muddu, Egino Sarto, M. Hofmann, A. Bashteen Repeater and Interconnect Strategies for High-Performance Physical Designs. Search on Bibsonomy SBCCI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Victor Adler, Eby G. Friedman A repeater timing model and insertion algorithm to reduce delay in RC tree structures. Search on Bibsonomy ICECS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Kenneth J. Christensen, Mart L. Molle, Sifang Li Comparison of the Gigabit Ethernet Full-Duplex Repeater, CSMA/CD, and 1000/100-Mbps Switched Ethernet. Search on Bibsonomy LCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Kathryn de Graaf, Dan Romascanu, Donna McMaster, Keith McCloghrie Definitions of Managed Objects for IEEE 802.3 Repeater Devices using SMIv2. Search on Bibsonomy RFC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18David Li, Andrew Pua, Pranjal Srivastava, Uming Ko A Repeater Optimization Methodology for Deep Sub-Micron, High Performance Processors. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Donna McMaster, Keith McCloghrie Definitions of Managed Objects for IEEE 802.3 Repeater Devices. Search on Bibsonomy RFC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Donna McMaster, Keith McCloghrie Definition of Managed Objects for IEEE 802.3 Repeater Devices. Search on Bibsonomy RFC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Don J. Torrieri Fundamental limitations on repeater jamming of frequency-hopping communications. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Kohichi Tatekura, Hitoshi Yamamoto, Hiroharu Wakabayashi, Yasuhiko Niiro Reliability of the OS-280M Optical Submarine Repeater. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
18Yasuharu Yoshida, Masato Tahara, Toshihiko Ryu 6GHz 140Mbps Digital Radio Repeater with 256QAM Modulation. Search on Bibsonomy ICC The full citation details ... 1986 DBLP  BibTeX  RDF
18Michio Fujisaki, Hirobumi Takanashi Advanced Technologies for High Speed Optical Repeater. Search on Bibsonomy ICC The full citation details ... 1986 DBLP  BibTeX  RDF
18Michael W. Perry, Gordon A. Reinold, Paul A. Yeisley Physical Design of the SL Branching Repeater. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Yutaka Sasaki, Toshihito Hosaka, Juichi Noda Polarization-Maintaining Optical Fibers Used for a Laser Diode Redundancy System in a Submarine Optical Repeater. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Iwao Kitazawa, Haruo Okamura, Shinji Nakamura FS-400M Submarine Optical Repeater Housing. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Mamoru Ohara, Tsutomu Kamoto, Shuichi Kanamori Integrated Optical Submarine Repeater Circuits Using a High Reliability Process Technology. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Michael W. Perry, Gordon A. Reinold, Paul A. Yeisley Physical Design of the SL Repeater. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Hiroharu Wakabayashi, Kohichi Tatekura, Hiroaki Yano, Yasuhiko Niiro Design and Experimental Results of the OS-280M Optical Submarine Repeater Circuits. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Yoshihiko Yamazaki, Yoshihiro Ejiri, Kahei Furusawa Design and Test Results of an Optical Fiber Feedthrough for an Optical Submarine Repeater. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Yukio Kobayashi, Yasutaka Ichihashi Repeater Fault Location for a Submarine Optical Fiber Cable Transmission System. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Detlef C. Gloge, C. J. McGrath, R. B. Moore, K. Ogawa Characteristics and Operation of the FT4E-432 Mb/s Repeater Line. Search on Bibsonomy ICC (2) The full citation details ... 1984 DBLP  BibTeX  RDF
18Valentino Castellani, Michele Elia, Letizia Lo Presti, Mario Pent Performance Analysis of a DCPSK Up-Link for a Regenerative Satellite Repeater. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
18Botaro Hirosaki, Tadaharu Kato, Yasuhiro Fujinobu Suboptimal Maximum Likelihood Timing Estimator for a PCM Regenerative Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
18Yukio Kobayashi A Repeater Fault Locator Using a Correlation Technique for a Submarine Coaxial Cable System. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
18Raimundo Sampaio Neto, José Paulo A. Albuquerque Intermodulation Effects in the Transmission of Voice-Activated SCPC/FM Carriers Through a Nonlinear Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
18Ali Tuna Alper, Jens C. Arnbak Capacity Allocation and Reservation in Common-User Satellite Communications Systems with a Reconfigurable Multiple-Beam Antenna and a Nonlinear Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
18Tsou-Chiang Huang, Jim K. Omura, Leon Biederman Bit Error Rate Comparison of Repeater and Regenerative Communication Satellites. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 497 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license