The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for retargetable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1986 (24) 1987-1989 (18) 1990-1992 (17) 1993-1994 (21) 1995-1996 (29) 1997-1998 (25) 1999-2000 (24) 2001 (21) 2002 (27) 2003 (20) 2004 (21) 2005 (21) 2006 (20) 2007 (24) 2008 (18) 2009-2011 (22) 2012-2014 (19) 2015-2019 (17) 2020-2022 (16) 2023-2024 (4)
Publication types (Num. hits)
article(96) book(4) incollection(4) inproceedings(292) phdthesis(12)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 360 occurrences of 230 keywords

Results
Found 408 publication records. Showing 408 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Lennart Augustsson, Howard Mansell, Ganesh Sittampalam Paradise: a two-stage DSL embedded in Haskell. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dsels, paradise, Haskell, metaprogramming
12Carlo Galuzzi, Koen Bertels A Framework for the Automatic Generation of Instruction-Set Extensions for Reconfigurable Architectures. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Paolo Bonzini, Giovanni Ansaloni, Laura Pozzi Compiling custom instructions onto expression-grained reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF horizontal microprogramming, compilers, instruction set extensions, coarse-grained reconfigurable architectures, data-flow architectures
12Haibing Guan, Bo Liu 0001, Tingtao Li, Alei Liang Multithreaded Optimizing Technique for Dynamic Binary Translator CrossBit. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Congying Han, Guoping He, Hua Duan, Xuping Zhang A Binding Number Computation of Graph. Search on Bibsonomy FSKD (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Zhonglei Wang, Antonio Sánchez, Andreas Herkersdorf SciSim: a software performance estimation framework using source code instrumentation. Search on Bibsonomy WOSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF debugging information, software performance estimation, source code instrumentation, microarchitecture
12Praveen Raghavan, Andy Lambrechts, Javed Absar, Murali Jayapala, Francky Catthoor, Diederik Verkest Coffee: COmpiler Framework for Energy-Aware Exploration. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Gaurav Mittal, David Zaretsky, Xiaoyong Tang, Prithviraj Banerjee An Overview of a Compiler for Mapping Software Binaries to Hardware. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Aviral Shrivastava, Sanghyun Park, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek Automatic Design Space Exploration of Register Bypasses in Embedded Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Paul Curzon, Rimvydas Ruksenas, Ann Blandford An approach to formal verification of human-computer interaction. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Formal cognitive architecture, Formal verification, Theorem proving, Interactive systems, Human error
12Ning Qu, Yulai Zhao 0003, Xuetao Guan, Xu Cheng 0001 Unichos: a full system simulator for thin client platform. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance evaluation, computer architecture, thin client, full system simulation
12Torsten Grust, Manuel Mayr, Jan Rittinger, Sherif Sakr, Jens Teubner A SQL: 1999 code generator for the pathfinder xquery compiler. Search on Bibsonomy SIGMOD Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF relational databases, SQL, XQuery, relational algebra
12Carlos Morra, João M. P. Cardoso, Jürgen Becker 0001 Using Rewriting Logic to Match Patterns of Instructions from a Compiler Intermediate Form to Coarse-Grained Processing Elements. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Stefan Kraemer, Lei Gao, Jan Weinstock, Rainer Leupers, Gerd Ascheid, Heinrich Meyr HySim: a fast simulation framework for embedded software development. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HySim, ISS, simulation, hybrid simulation
12Hanno Scharwächter, Jonghee M. Youn, Rainer Leupers, Yunheung Paek, Gerd Ascheid, Heinrich Meyr A code-generator generator for multi-output instructions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISS, code-selection, compiler/architecture co-design, ASIP
12Lei Gao, Stefan Kraemer, Rainer Leupers, Gerd Ascheid, Heinrich Meyr A fast and generic hybrid simulation approach using C virtual machine. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulation, virtual machine, debugging
12Grigoris Dimitroulakos, Michalis D. Galanis, Nikos Kostaras, Costas E. Goutis A unified evaluation framework for coarse grained reconfigurable array architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coarse-grained reconfigurable arrays, reconfigurable romputing, Modulo scheduling, architectural exploration
12Grigoris Dimitroulakos, Nikos Kostaras, Michalis D. Galanis, Costas E. Goutis Compiler assisted architectural exploration for coarse grained reconfigurable arrays. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coarse-grained reconfigurable arrays, reconfigurable computing, modulo scheduling, architectural exploration
12Stefan Farfeleder, Andreas Krall, Edwin Steiner, Florian Brandner Effective compiler generation by architecture description. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF code generation, architecture description language, compiler generation
12Takashi Nakada, Tomoaki Tsumura, Hiroshi Nakashima Design and Implementation of aWorkload Specific Simulator. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Koen Van Renterghem, Dieter Verhulst, S. Verschuere, Pieter Demuytere, Jan Vandewege, Xing-Zhi Qiu A Scalable Network ASIP Enabling Flow Awareness in Ethernet Access. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Andrzej Bednarski, Christoph W. Kessler Optimal Integrated VLIW Code Generation with Integer Linear Programming. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Victor M. Goulart Ferreira, Lovic Gauthier, Takayuki Kando, Takuma Matsuo, Toshihiko Hashinaga, Kazuaki J. Murakami REDEFIS: a system with a redefinable instruction set processor. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ISA customization, dynamically reconfigurable processor, low power, SoC, high performance
12Nikolaos Kavvadias, Spiridon Nikolaidis 0001 A portable specification of zero-overhead looping control hardware applied to embedded processors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Rajeev Kumar 0004, Amit Gupta, B. S. Pankaj, Mrinmoy Ghosh, P. P. Chakrabarti 0001 Post-compilation optimization for multiple gains with pattern matching. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction set FSM, peephole optimization, post-compilation optimization, pattern matching
12Bingfeng Mei, Andy Lambrechts, Diederik Verkest, Jean-Yves Mignolet, Rudy Lauwereins Architecture Exploration for a Reconfigurable Architecture Template. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Wei Qin, Sharad Malik A Study of Architecture Description Languages from a Model-based Perspective. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Domenico Barretta, William Fornaciari, Mariagiovanna Sami, Daniele Bagni Multithreaded Extension to Multicluster VLIW Processors for Embedded Applications. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Eugene Earlie PBExplore: A Framework for Compiler-in-the-Loop Exploration of Partial Bypassing in Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jason McC. Smith, P. David Stotts Extending SPQR to Architectural Analysis by Semi-Automated Training. Search on Bibsonomy WICSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Davide Patti A system-level framework for evaluating area/performance/power trade-offs of VLIW-based embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Daniel Kästner, Markus Pister 0002 Generic Software Pipelining at the Assembly Level. Search on Bibsonomy SCOPES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF PROPAN, software pipelining, modulo scheduling, postpass optimization
12Claudio Mucci, Fabio Campi, Antonio Deledda, Alberto Fazzi, Mirco Ferri, Massimo Bocchi A Cycle-Accurate ISS for a Dynamically Reconfigurable Processor Architecture. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Masaharu Imai, Akira Kitajima Verification Challenges in Configurable Processor Design with ASIP Meister. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12David Garlan, William K. Reinholtz, Bradley R. Schmerl, Nicholas D. Sherman, Tony Tseng Bridging the Gap between Systems Design. Search on Bibsonomy SEW The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ali Erdem Özcan, Sébastien Jean, Jean-Bernard Stefani Bringing Ease and Adaptability to MPSoC Software Design: A Component-Based Approach. Search on Bibsonomy CASSIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar An efficient technique for exploring register file size in ASIP design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Gabriele Luculli Efficient and Effective Simulation of Memory Maps for System-on-Chip. Search on Bibsonomy ECBS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Meuse N. Oliveira Jr., Paulo Romero Martins Maciel, Raimundo S. Barreto, Fernando F. Carvalho Towards a Software Power Cost Analysis Framework Using Colored Petri Net. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ankush Varma, Shuvra S. Bhattacharyya Java-through-C Compilation: An Enabling Technology for Java in Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Philip Brisk, Ani Nahapetian, Majid Sarrafzadeh Instruction Selection for Compilers that Target Architectures with Echo Instructions. Search on Bibsonomy SCOPES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Steffen Köhler, Jens Braunes, Thomas Preußer, Martin Zabel, Rainer G. Spallek Increasing ILP of RISC Microprocessors Through Control-Flow Based Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Marcus Bartholomeu, Rodolfo Azevedo, Sandro Rigo, Guido Araujo Optimizations for Compiled Simulation Using Instruction Type Information. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Gary Gréwal, Thomas Charles Wilson, Andrew Morton An EGA approach to the compile-time assignment of data to multiple memories in digital-signal processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Huanfeng Ma, David S. Doermann Adaptive Hindi OCR using generalized Hausdorff image comparison. Search on Bibsonomy ACM Trans. Asian Lang. Inf. Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generalized Hausdorff image comparison, Optical character recognition (OCR), document processing, script identification
12Rafael Ballagas, Meredith Ringel, Maureen C. Stone, Jan O. Borchers iStuff: a physical user interface toolkit for ubiquitous computing environments. Search on Bibsonomy CHI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ubiquitous computing, tangible user interfaces, programming environments, development tools, user interface toolkits, input and interaction technologies, wireless devices, intermediation
12Cristian Coarfa, Yuri Dotsenko, Jason Eckhardt, John M. Mellor-Crummey Co-array Fortran Performance and Potential: An NPB Experimental Study. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Rainer Leupers Compiler Design Issues for Embedded Processors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Todd A. Proebsting BURG, IBURG, WBURG, GBURG: so many trees to rewrite, so little time (invited talk). Search on Bibsonomy ACM SIGPLAN Workshop on Rule-Based Programming The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Steffen Köhler, Jens Braunes, Sergej Sawitzki, Rainer G. Spallek Improving Code Efficiency for Reconfigurable VLIW Processors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Domenico Barretta, William Fornaciari, Mariagiovanna Sami, Danilo Pau SIMD Extension to VLIW Multicluster Processors for Embedded Applications. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Yung-Chia Lin, Yuan-Shin Hwang, Jenq Kuen Lee Compiler Optimizations with DSP-Specific Semantic Descriptions. Search on Bibsonomy LCPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Ahmed E. Hassan, Richard C. Holt Architecture recovery of web applications. Search on Bibsonomy ICSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Achim Nohl, Gunnar Braun, Oliver Schliebusch, Rainer Leupers, Heinrich Meyr, Andreas Hoffmann 0002 A universal technique for fast and flexible instruction-set architecture simulation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Andreas Hoffmann 0002, Tim Kogel, Achim Nohl, Gunnar Braun, Oliver Schliebusch, Oliver Wahlen, Andreas Wieferink, Heinrich Meyr A novel methodology for the design of application-specificinstruction-set processors (ASIPs) using a machine description language. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Chunho Lee, Johnson Kin, Miodrag Potkonjak, William H. Mangione-Smith Exploring Hypermedia Processor Design Space. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hypermedia processor, synthesis framework, instruction-level parallelism, workload characterization
12Thomas A. Henzinger, Benjamin Horowitz, Christoph M. Kirsch Embedded Control Systems Development with Giotto. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Christoph W. Keßler, Andrzej Bednarski A Dynamic Programming Approach to Optimal Integrated Code Generation. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF integrated code generation, time profile, dynamic programming, register allocation, instruction scheduling, instruction selection
12Mahmut T. Kandemir, Ismail Kadayif Compiler-directed selection of dynamic memory layouts. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF array reuse, memory layout optimization, software compilation, data dependence, data locality
12Edward Lank, Jeb S. Thorley, Sean Chen, Dorothea Blostein On-Line Recognition of UML Diagrams. Search on Bibsonomy ICDAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Margarida F. Jacome, Gustavo de Veciana Design Challenges for New Application-Specific Processors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Stefan Pees, Andreas Hoffmann 0002, Heinrich Meyr Retargeting of Compiled Simulators for Digital Signal Processors Using a Machine Description Language. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Frank Engel, Johannes Nührenberg, Gerhard P. Fettweis A generic tool set for application specific processor architectures. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Naji Ghazal, A. Richard Newton, Jan M. Rabaey Predicting performance potential of modern DSPs. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Edward Lank, Jeb S. Thorley, Sean Jy-Shyang Chen An interactive system for recognizing hand drawn UML diagrams. Search on Bibsonomy CASCON The full citation details ... 2000 DBLP  BibTeX  RDF UML
12Ashok Halambi, Peter Grun, Vijay Ganesh, Asheesh Khare, Nikil D. Dutt, Alexandru Nicolau EXPRESSION: A Language for Architecture Exploration through Compiler/Simulator Retargetability. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Rainer Leupers, Johann Elste, Birger Landwehr Generation of Interpretive and Compiled Instruction Set Simulators. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Jeffrey L. Korn, Yih-Farn Chen, Eleftherios Koutsofios Chava: Reverse Engineering and Tracking of Java Applets. Search on Bibsonomy WCRE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Esther Stümpel, Michael Thies, Uwe Kastens VLIW Compilation Techniques for Superscalar Architectures. Search on Bibsonomy CC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Takashi Miyamori, Kunle Olukotun A Quantitative Analysis of Reconfigurable Coprocessors for Multimedia Applications. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Andrew A. Duncan, David C. Hendry, Peter Gray An Overview of the COBRA-ABS High Level Synthesis System for Multi-FPGA Systems. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Pai H. Chou, Gaetano Borriello Modal Processes: Towards Enhanced Retargetability Through Control Composition of Distributed Embedded Systems. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Christian S. Collberg Reverse Interpretation + Mutation Analysis = Automatic Retargeting. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF C
12Mark R. Hartoog, James A. Rowson, Prakash D. Reddy, Soumya Desai, Douglas D. Dunlop, Edwin A. Harcourt, Neeti Khullar Generation of Software Tools from Processor Descriptions for Hardware/Software Codesign. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12George Hadjiyiannis, Silvina Hanono, Srinivas Devadas ISDL: An Instruction Set Description Language for Retargetability. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Clifford Liem, Marco Cornero, Miguel Santana, Pierre G. Paulin, Ahmed Amine Jerraya, Jean-Marc Gentit, Jean Lopez, Xavier Figari, Laurent Bergher Am Embedded System Case Study: The Firm Ware Development Environment for a Multimedia Audio Processor. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Preston Briggs Remembering. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Peter Pfahler, Georg Piepenbrock A Comparison of Modulo Scheduling Techniques for Software Pipelining. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, Software Pipelining, VLIW, Superscalar Processors
12Guido Araujo, Ashok Sudarsanam, Sharad Malik Instruction Set Design and Optimizations for Address Computation in DSP Architectures. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Norman Ramsey Relocating Machine Instructions by Currying. Search on Bibsonomy PLDI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Jörg Wilberg, A. Kuth, Raul Camposano, Wolfgang Rosenstiel, Heinrich Theodor Vierhaus A Design Exploration Environment. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12J. Shu, Thomas Charles Wilson, Dilip K. Banerji Instruction-Set Matching and GA-based Selection for Embedded-Processor Code Generation. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Gert Goossens, Dirk Lanneer, Marc Pauwels, Francis Depuydt, Koen Schoofs, Augusli Kifli, Marco Cornero, Paolo Petroni, Francky Catthoor, Hugo De Man Integration of medium-throughput signal processing algorithms on flexible instruction-set architectures. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Pierre G. Paulin, Clifford Liem, Trevor C. May, Shailesh Sutarwala DSP design tool requirements for embedded systems: A telecommunications industrial perspective. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Todd A. Proebsting Optimizing an ANSI C Interpreter with Superoperators. Search on Bibsonomy POPL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C
12Mary F. Fernandez Simple and Effective Link-Time Optimization of Modula-3 Programs. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C++, Modula-3
12Trung A. Diep, Christopher Nelson, John Paul Shen Performance Evaluation of the PowerPC 620 Microarchitecture. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PowerPC
12Amit Ganesh Fusing Loops With Backward Inter Loop Data Dependence. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Julie Shipnes, Mike Philip A Modular Approach to Motorola PowerPC Compilers. Search on Bibsonomy Commun. ACM The full citation details ... 1994 DBLP  DOI  BibTeX  RDF PowerPC
12Phu Hoang, Jan M. Rabaey A CAD environment for Real-time DSP implementations on multiprocessors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Manuel E. Benitez, Jack W. Davidson The Advantages of Machine-Dependent Global Optimization. Search on Bibsonomy Programming Languages and System Architectures The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Andreas Fauth, Günter Hommel, Alois C. Knoll, Carsten Müller Global Code Selection of Directed Acyclic Graphs. Search on Bibsonomy CC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Shailesh Sutarwala, Pierre G. Paulin Flexible modeling environment for embedded systems design. Search on Bibsonomy CODES The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Jack W. Davidson, Sanjay Jinturkar Memory Access Coalescing: A technique for Eliminating Redundant memory Accesses. Search on Bibsonomy PLDI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Marina C. Chen, James R. Cowie Prototyping Fortran-90 Compilers for Massively Parallel Machines. Search on Bibsonomy PLDI The full citation details ... 1992 DBLP  DOI  BibTeX  RDF FORTRAN, CM-2
12Philip A. Wilsey, Subrata Dasgupta A formal model of computer architectures for digital system design environments. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Paul Kenyon, Prathima Agrawal, Sharad C. Seth High-level microprogramming: an optimizing C compiler for a processing element of a CAD accelerator. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF front-end DAG compiler, hand vs. compiled microcode, microcode compiler, programming environment for CAD, space/time overhead, code generation, hardware accelerator, performance data
12Edward A. Lee, E. Goei, H. Heine, W.-H. Ho, Shuvra S. Bhattacharyya, Jeffery C. Bier, E. Guntvedt GABRIEL: A Design Environment for Programmable DSPs. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Richard H. Lathrop, Robert J. Hall 0001, Gavan Duffy, K. Mark Alexander, Robert S. Kirk Advances in Functional Abstraction from Structure. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
12Jack S. Walicki, John D. Laughlin Operation scheduling in reconfigurable, multifunction pipelines. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 408 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license