The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for temperature with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1974 (17) 1975-1983 (17) 1985-1987 (16) 1988-1989 (22) 1990-1991 (27) 1992 (15) 1993-1994 (39) 1995 (35) 1996 (30) 1997 (41) 1998 (56) 1999 (95) 2000 (113) 2001 (133) 2002 (177) 2003 (255) 2004 (314) 2005 (451) 2006 (535) 2007 (633) 2008 (660) 2009 (537) 2010 (452) 2011 (511) 2012 (487) 2013 (584) 2014 (658) 2015 (729) 2016 (733) 2017 (804) 2018 (982) 2019 (1038) 2020 (1101) 2021 (1200) 2022 (1293) 2023 (1339) 2024 (314)
Publication types (Num. hits)
article(8503) book(4) data(25) incollection(33) inproceedings(7804) phdthesis(74)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2113 occurrences of 1161 keywords

Results
Found 16443 publication records. Showing 16443 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Dominic Maurath, Charalambos M. Andreou, Yiannos Manoli A novel 0.5 V 15 µW 1.3 MHz temperature-compensated analog PWM-controller for switch-mode converters. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PWM modulator, voltage reference, subthreshold operation
24Kensuke Takada, Kyoko Higurashi, Tatsuhiko Suzuki, Misako Ota, Tetsuaki Baba, Kumiko Kushiyama Temperature design display device to use peltier elements and liquid crystal thermograph sheet "Thermo-Pict". Search on Bibsonomy SIGGRAPH Posters The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Basab Datta, Wayne P. Burleson Temperature effects on energy optimization in sub-threshold circuit design. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Sanjay Kumar Wadhwa A Low Voltage CMOS Proportional-to-Absolute Temperature Current Reference. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Yefu Wang, Kai Ma, Xiaorui Wang Temperature-constrained power control for chip multiprocessors with online model estimation. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power management, chip multiprocessor, feedback control
24Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar Body Bias Voltage Computations for Process and Temperature Compensation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Ayse K. Coskun, Tajana Simunic Rosing, Keith Whisnant, Kenny C. Gross Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Wei Huang 0004, Karthik Sankaranarayanan, Kevin Skadron, Robert J. Ribando, Mircea R. Stan Accurate, Pre-RTL Temperature-Aware Design Using a Parameterized, Geometric Thermal Model. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Yi-Chin Fang, Bo-Wen Wu Prediction of the Thermal Imaging Minimum Resolvable (Circle) Temperature Difference with Neural Network Application. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Min Bao, Alexandru Andrei, Petru Eles, Zebo Peng Temperature-Aware Task Mapping for Energy Optimization with Dynamic Voltage Scaling. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Shun Li, Hua Chen, Feng Zhou A Novel Technique for Improving Temperature Independency of Ring-ADC. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Christian Falconi, Marco Fratini, Arnaldo D'Amico, Giuseppe Scotti, Alessandro Trifiletti Low voltage, low power, compact, high accuracy, high precision PTAT temperature sensor for deep sub-micron CMOS systems. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Yu Zhou, Somnath Paul, Swarup Bhunia Towards Uniform Temperature Distribution in SOI Circuits Using Carbon Nanotube Based Thermal Interconnect. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Agnes Meiling C. Lee, Chester T. Angeles, Marc Caesar R. Talampas, Luis G. Sison, Maricor Soriano MotesArt: Wireless Sensor Network for Monitoring Relative Humidity and Temperature in an Art Gallery. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Bishnu Prasad Das, Janakiraman Viraraghavan, Bharadwaj Amrutur, H. S. Jamadagni, N. V. Arvind Voltage and Temperature Scalable Gate Delay and Slew Models Including Intra-Gate Variations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Ayse Kivilcim Coskun, Tajana Simunic Rosing, Kenny C. Gross Temperature management in multiprocessor SoCs using online learning. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiprocessor, online learning, thermal management
24Chia-Feng Juang, Jung-Shing Chen A Recurrent Fuzzy-Network-Based Inverse Modeling Method for a Temperature System Control. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part C The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24B. Lasbouygues, Robin Wilson, Nadine Azémard, Philippe Maurine Temperature- and Voltage-Aware Timing Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Vivek Yadav, Radhakant Padhi, Sivasubramanya Nadar Balakrishnan Robust/Optimal Temperature Profile Control of a High-Speed Aerospace Vehicle Using Neural Networks. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Baudouin Denis de Senneville, Gregory Maclair, Mario Ries, Pascal Desbarats, Bruno Quesson, Chrit T. W. Moonen Robust Spatial Phase Unwrapping for On-Line MR-Temperature Monitoring. Search on Bibsonomy ICIP (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-aware NBTI modeling and the impact of input vector control on performance degradation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Yinghui Zhou, Dezhong Zheng Flame Temperature Field Measurement Using Improved Generalized Cross Validation Method. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Sergio Ledesma, Miguel Torres, Donato Hernández, Gabriel Aviña, Guadalupe García Temperature Cycling on Simulated Annealing for Neural Network Learning. Search on Bibsonomy MICAI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Saeed Ghanbari, Gokul Soundararajan, Jin Chen 0006, Cristiana Amza Adaptive Learning of Metric Correlations for Temperature-Aware Database Provisioning. Search on Bibsonomy ICAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Le Chen, Baoming Ge, Anibal T. de Almeida Self-tuning PID Temperature Controller Based on Flexible Neural Network. Search on Bibsonomy ISNN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Koji Murai, Tadatsugi Okazaki, Laurie C. Stone, Yuji Hayashi A characteristic of a navigator's mental workload based on nasal Temperature. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Yo-Ping Huang, Li-Jen Kao, Frode Eika Sandnes Data mining and fuzzy inference based salinity and temperature variation prediction. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Jieyi Long, Ja Chun Ku, Seda Ogrenci Memik, Yehea I. Ismail A self-adjusting clock tree architecture to cope with temperature variations. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Chunta Chu, Xinyi Zhang, Lei He 0001, Tong Jing Temperature aware microprocessor floorplanning considering application dependent power load. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Tiejun Cao, Hung P. Hoang 0002, Beth O. Woods, H. Alan Mantooth A SiGe BiCMOS Variable Gain Amplifier for Cryogenic Temperature Applications. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24AbdEl-Monem M. El-Sharkawy, Paul-Peter Sotiriadis, Paul A. Bottomley, Ergin Atalar A New RF Radiometer for Absolute Noninvasive Temperature Sensing in Biomedical Applications. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Karthik Duraisami, Prassanna Sithambaram, Ashoka Visweswara Sathanur, Alberto Macii, Enrico Macii, Massimo Poncino Design Exploration of a Thermal Management Unit for Dynamic Control of Temperature-Induced Clock Skew. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Shilpa Bhoj, Dinesh Bhatia Thermal Modeling and Temperature Driven Placement for FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Giuseppe de Vita, Francesco Marraccini, Giuseppe Iannaccone Low-Voltage Low-Power CMOS Oscillator with Low Temperature and Process Sensitivity. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Dragana Laketic, Pauline C. Haddow Extreme Temperature Electronics - from Materials to Bio-inspired Adaptation. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Daniel Mazor, Michael L. Bushnell, David J. Mulligan, Richard J. Blaikie Fault Models and Device Yield of a Large Population of Room Temperature Operation Single-Electron Transistors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir STEFAL: A System Level Temperature- and Floorplan-Aware Leakage Power Estimator for SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Guoliang Ye, J. Alison Noble, Penny Probert Smith Estimating a parametric model of temperature distribution from an ultrasound image sequence during HIFU therapy. Search on Bibsonomy ISBI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Tianpei Zhang, Yong Zhan, Sachin S. Sapatnekar Temperature-aware routing in 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Jung Hwan Choi, Aditya Bansal, Mesut Meterelliyoz, Jayathi Murthy, Kaushik Roy 0001 Leakage power dependent temperature estimation to predict thermal runaway in FinFET circuits. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Yonghui Zhang, Cheng Shao Fuzzy-PID Hybrid Control for Temperature of Melted Aluminum in Atomization Furnace. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Jianhua Zhang 0007, Guolian Hou, Jinfang Zhang Adaptive Neuro-control System for Superheated Steam Temperature of Power Plant over Wide Range Operation. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF neural networks, Process control, power plant
24Shengquan Wang, Riccardo Bettati Delay Analysis in Temperature-Constrained Hard Real-Time Systems with General Task Arrivals. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Volkan Kursun, Zhiyu Liu Wide temperature spectrum low leakage dynamic circuit technique for sub-65nm CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Christian Falconi, Emiliano Zampetti, S. Pantalei, Eugenio Martinelli, Corrado Di Natale, Arnaldo D'Amico, Vincenzo Stornelli, Giuseppe Ferri Temperature and flow velocity control for quartz crystal microbalances. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Le Jin, Hanqing Xing, Degang Chen 0001, Randall L. Geiger A self-calibrated bandgap voltage reference with 0.5 ppm/°C temperature coefficient. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Adrian Stoica, Ricardo Salem Zebulum, Didier Keymeulen, Rajeshuni Ramesham, Joseph Neff, Srinivas Katkoori Temperature-Adaptive Circuits on Reconfigurable Analog Arrays. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Thomas C. Schulthess Materials and nano-science - Toward material-specific simulations of high temperature superconductivity. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Raino Vastamäki, Irmeli Sinkkonen, Cecilia Leinonen A behavioural model of temperature controller usage and energy saving. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Initial feedback, Concluding feedback, Guessability, Usability, Learning, Energy-saving, Learnability, Intuitiveness
24Amir H. Ajami, Kaustav Banerjee, Massoud Pedram Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Nagy Bengiamin, Allen Parker, Larry Hudson, Van Tran Temperature control for aerospace test systems - modeling, simulation and design case study. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  BibTeX  RDF
24Sivakumar Velusamy, Wei Huang 0004, John C. Lach, Mircea R. Stan, Kevin Skadron Monitoring Temperature in FPGA based SoCs. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Peng Li 0001, Yangdong Deng, Lawrence T. Pileggi Temperature-Dependent Optimization of Cache Leakage Power Dissipation. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Sri Hari Krishna Narayanan, Guilin Chen, Mahmut T. Kandemir, Yuan Xie 0001 Temperature-Sensitive Loop Parallelization for Chip Multiprocessors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Chia-Feng Juang, Chao-Hsin Hsu, Yuan-Chang Liou FPGA implementation of a recurrent neural fuzzy network for on-line temperature control. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Rajiv V. Joshi, S. S. Kang, N. Zamdmar, Anda Mocuta, Ching-Te Chuang, J. A. Pascual-Gutiérrez Direct Temperature Measurement for VLSI Circuits and 3-D Modeling of Self-Heating in Sub-0.13 mum SOI Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Gagandeep Kaur, Ashutosh Chauhan, P. V. Subramanyam Fuzzy logic based temperature controller. Search on Bibsonomy GrC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Bernd Obermeier, Frank M. Johannes Temperature-aware global placement. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Xiaogang Zhang, Hua Chen 0008, Jing Zhang 0014 A sintering temperature detection and control method of alumina rotary kiln based on fuzzy data fusion. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Chris Schuermyer, Jens Ruffler, W. Robert Daasch Minimum Testing Requirements to Screen Temperature Dependent Defects. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Turgay Tugay Bilgin, A. Yilmaz Çamurcu A Data Mining Application on Air Temperature Database. Search on Bibsonomy ADVIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Qadeer Ahmad Khan, Sanjay Kumar Wadhwa, Kulbhushan Misri A tunable gm-C filter with low variation across Process, Voltage and Temperature. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Mario R. Casu, Mariagrazia Graziano, Gianluca Piccinini, Guido Masera, Maurizio Zamboni Effects of Temperature in Deep-Submicron Global Interconnect Optimization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Adam Golda, Andrzej Kos Temperature Influence on Power Consumption and Time Delay. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Alfredo Olmos A Temperature Compensated Fully Trimmable On-Chip IC Oscillator. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Weiping Liao, Fei Li 0003, Lei He 0001 Microarchitecture level power and thermal simulation considering temperature dependent leakage model. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, leakage, thermal
24Haihua Su, Frank Liu 0001, Anirudh Devgan, Emrah Acar, Sani R. Nassif Full chip leakage estimation considering power supply and temperature variations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF supply voltage variation, leakage power, thermal analysis
24N. Srirattana, Muhammad Shakeel Qureshi, A. Aude, V. Krishnamurthy, Deuk Hyoun Heo, Phillip E. Allen, Joy Laskar SiGe HBT power amplifier for IS-95 CDMA using a novel process, voltage, and temperature insensitive biasing scheme. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Sungho Beck, Myung-woon Hwang, Sang-Hoon Lee, Gyu-Hyeong Cho, Jong-Ryul Lee A precise temperature-insensitive and linear-in-dB variable gain amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Dean A. Badillo 1.5V CMOS current reference with extended temperature operating range. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Saeid Nooshabadi Modelling of effects of temperature profile in the MOS transistor characteristics. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Andreas C. Pfahnl, John H. Lienhard V, Alexander H. Slocum Temperature control of a handler test interface. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Gennady Gildenblat, Cheng-Liang Huang N-channel MOSFET model for the 60-300-K temperature range. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
22Jia Zhao, Basab Datta, Wayne P. Burleson, Russell Tessier Thermal-aware voltage droop compensation for multi-core architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF monitor network-on-chip, thermal monitor, voltage emergency
22Neri Merhav Relations Between Random Coding Exponents and the Statistical Physics of Random Codes. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Md. M. Hossain, W. Alan Davis, Howard T. Russell Jr., Ronald L. Carter Thermal characterization of the VBIC dielectrically isolated device. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Dedong Tang, Shangzun Yuan, Xinping Yan, Pengfei Li Research of cable tension sensor with difference structure based on magnetoelastic effect. Search on Bibsonomy IEEE ICCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Lihua Deng Study on Ultrasonic Ranging System Design. Search on Bibsonomy IAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Sheng-Chih Lin, Kaustav Banerjee A Design-Specific and Thermally-Aware Methodology for Trading-Off Power and Performance in Leakage-Dominant CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Jieyi Long, Seda Ogrenci Memik, Gokhan Memik, Rajarshi Mukherjee Thermal monitoring mechanisms for chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Thermal sensor allocation, nonuniform and uniform sensor placement
22Konstantinos T. Karathanasis, Ioannis A. Gouzouasis, Irene S. Karanasiou, George Stratakos, Nikolaos K. Uzunoglu Passive focused monitoring and non-invasive irradiation of head tissue phantoms at microwave frequencies. Search on Bibsonomy BIBE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Pei-Yu Huang, Chih-Kang Lin, Yu-Min Lee Full-chip thermal analysis for the early design stage via generalized integral transforms. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Hwisung Jung, Massoud Pedram A stochastic local hot spot alerting technique. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Adam Golda, Andrzej Kos Neural Processor as a Dynamic Power Manager for Digital Systems. Search on Bibsonomy MICAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Neural networks, Dynamic power management, VLSI systems
22Oscar Mauricio Agudelo, Jairo Jose Espinosa, Bart De Moor Algorithm for reducing the number of constraints of POD-based predictive controllers. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Vinay Agarwal, Sameer R. Sonkusale A PVT independent subthreshold constant-Gm stage for very low frequency applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Hung-Wei Chen, Jing-Yu Luo, Wen-Cheng Yen A 1V power supply operation CMOS subbandgap reference using switched capacitors. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Naresh Yamani, Adnan Al-Anbuky, Amoakoh Gyasi-Agyei Portable Object Thermal Awareness: Modeling Intelligent Sensor Networks for Cool Store Applications. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Peter Tiño On Conditions for Intermittent Search in Self-organizing Neural Networks. Search on Bibsonomy MICAI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Dionisio A. Suarez, Edgar N. Sánchez, Flavio Muñoz, Alfredo Sanchez Adaptive predictive control for the steam generator of a fossil power plant. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Inchoon Yeo, Heung Ki Lee, Eun Jung Kim 0001, Ki Hwan Yum Effective Dynamic Thermal Management for MPEG-4 decoding. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Chia-Wei Chang, Tien-Yu Lo, Chia-Min Chen, Kuo-Hsi Wu, Chung-Chih Hung A Low-Power CMOS Voltage Reference Circuit Based On Subthreshold Operation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Timothy D. Mount, Jaeuk Ju Modeling the Economic Cost of Transmission Bottlenecks. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Javid Jaffari, Mohab Anis Thermal-Aware Placement for FPGAs Using Electrostatic Charge Model. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Baudouin Denis de Senneville, Charles Mougenot, Pascal Desbarats, Bruno Quesson, Chrit T. W. Moonen On-Line Mobile Organ Tracking for Non-Invasive Local Hyperthermia. Search on Bibsonomy ICIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Madhu Mutyam, Feihui Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Compiler-directed thermal management for VLIW functional units. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLIW, thermal, IPC
22Ashutosh Chakraborty, Prassanna Sithambaram, Karthik Duraisami, Alberto Macii, Enrico Macii, Massimo Poncino Thermal resilient bounded-skew clock tree optimization methodology. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Min Ni, Seda Ogrenci Memik Thermal-induced leakage power optimization by redundant resource allocation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 16443 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license