The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for x86 with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1997 (17) 1998-1999 (16) 2000-2002 (31) 2003-2004 (31) 2005 (22) 2006 (34) 2007 (38) 2008 (34) 2009 (57) 2010 (45) 2011 (30) 2012 (30) 2013 (17) 2014 (15) 2015 (20) 2016 (16) 2017 (24) 2018 (17) 2019 (33) 2020 (26) 2021 (25) 2022 (23) 2023 (20) 2024 (4)
Publication types (Num. hits)
article(141) incollection(2) inproceedings(474) phdthesis(8)
Venues (Conferences, Journals, ...)
CoRR(34) PLDI(18) ISSCC(14) CGO(11) MICRO(10) IEEE Micro(9) USENIX Security Symposium(9) HPCA(8) ISPASS(8) SC(8) CC(7) CCS(7) ICCD(7) IEEE Trans. Parallel Distribut...(7) IPDPS(7) ISCA(7) More (+10 of total 307)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 321 occurrences of 243 keywords

Results
Found 625 publication records. Showing 625 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Kaixi Hou, Hao Wang 0002, Wu-chun Feng ASPaS: A Framework for Automatic SIMDization of Parallel Sorting on x86-based Many-core Processors. Search on Bibsonomy ICS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Kevin Hammond, Christopher Brown 0002, Susmit Sarkar Timing Properties and Correctness for Structured Parallel Programs on x86-64 Multicores. Search on Bibsonomy FOPARA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Evangelos Ladakis, Giorgos Vasiliadis, Michalis Polychronakis, Sotiris Ioannidis, Georgios Portokalidis GPU-Disasm: A GPU-Based X86 Disassembler. Search on Bibsonomy ISC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18I-Chun Liu, I-Wei Wu, Jean Jyh-Jiun Shann Instruction Emulation and OS Supports of a Hybrid Binary Translator for x86 Instruction Set Architecture. Search on Bibsonomy UIC/ATC/ScalCom The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Kathryn Wilcox, David Akeson, Harry R. Fair III, Jim Farrell, Dave Johnson 0002, Guhan Krishnan, Hugh McIntyre, Edward McLellan, Samuel Naffziger, Russell Schreiber, Sriram Sundaram, Jonathan White 4.8 A 28nm x86 APU optimized for power and area efficiency. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Vignesh Babu, David M. Nicol Detection of x86 malware in AMI data payloads. Search on Bibsonomy SmartGridComm The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Chenhan D. Yu, Jianyu Huang, Woody Austin, Bo Xiao 0001, George Biros Performance optimization for the k-nearest neighbors kernel on x86 architectures. Search on Bibsonomy SC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Jayneel Gandhi, Arkaprava Basu, Mark D. Hill, Michael M. Swift BadgerTrap: a tool to instrument x86-64 TLB misses. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Erzhou Zhu, Feng Liu 0024, Xianyong Fang, Xuejun Li 0001, Yindong Yang, Alei Liang DYBS: A Lightweight Dynamic Slicing Framework for Diagnosing Attacks on x86 Binary Programs. Search on Bibsonomy J. Softw. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18John Gatewood Ham An ECMA-55 Minimal BASIC Compiler for x86-64 Linux. Search on Bibsonomy Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Johannes Hofmann 0001, Jan Treibig, Georg Hager, Gerhard Wellein Comparing the Performance of Different x86 SIMD Instruction Sets for a Medical Imaging Application on Modern Multi- and Manycore Chips. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
18Peter-Michael Seidel Directed Test Case Generation for x86 Instruction Decoding. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Jason Power, Mark D. Hill, David A. Wood 0001 Supporting x86-64 address translation for 100s of GPU lanes. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Bingjie Han, Ronggang Wang, Zhenyu Wang 0002, Shengfu Dong, Wenmin Wang, Wen Gao 0001 HEVC decoder acceleration on multi-core X86 platform. Search on Bibsonomy ICASSP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Aditya Basu, Anish Mathuria, Nagendra Chowdary Automatic Generation of Compact Alphanumeric Shellcodes for x86. Search on Bibsonomy ICISS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Yanlin Li, Jonathan M. McCune, James Newsome, Adrian Perrig, Brandon Baker, Will Drewry MiniBox: A Two-Way Sandbox for x86 Native Code. Search on Bibsonomy USENIX Annual Technical Conference The full citation details ... 2014 DBLP  BibTeX  RDF
18Kevin Gillespie, Harry R. Fair III, Carson Henrion, Ravi Jotwani, Stephen V. Kosonocky, Robert S. Orefice, Donald A. Priore, Jonathan White, Kathryn Wilcox 5.5 Steamroller: An x86-64 core implemented in 28nm bulk CMOS. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Aaron Grenat, Sanjay Pant, Ravinder Rachala, Samuel Naffziger 5.6 Adaptive clocking system for improved power efficiency in a 28nm x86-64 microprocessor. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Shilpi Goel, Warren A. Hunt Jr., Matt Kaufmann, Soumava Ghosh Simulation and formal verification of x86 machine-code programs that make system calls. Search on Bibsonomy FMCAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Dohyeong Kim, William N. Sumner, Xiangyu Zhang 0001, Dongyan Xu, Hira Agrawal Reuse-oriented reverse engineering of functional components from x86 binaries. Search on Bibsonomy ICSE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Johannes Hofmann 0001, Jan Treibig, Georg Hager, Gerhard Wellein Comparing the performance of different x86 SIMD instruction sets for a medical imaging application on modern multi- and manycore chips. Search on Bibsonomy WPMVP@PPoPP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Viviane Zwanger, Elmar Gerhards-Padilla, Michael Meier 0001 Codescanner: Detecting (Hidden) x86/x64 code in arbitrary files. Search on Bibsonomy MALWARE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Visvesh S. Sathe 0001, Srikanth Arekapudi, Alexander T. Ishii, Charles Ouyang, Marios C. Papaefthymiou, Samuel Naffziger Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Zsombor Paroczi x86 Instruction Reordering for Code Compression. Search on Bibsonomy Acta Cybern. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Ryad Benadjila, Jian Guo 0001, Victor Lomné, Thomas Peyrin Implementing Lightweight Block Ciphers on x86 Architectures. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2013 DBLP  BibTeX  RDF
18Shilpi Goel, Warren A. Hunt Jr. Automated Code Proofs on a Formal Model of the X86. Search on Bibsonomy VSTTE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Lucas Vincenzo Davi, Alexandra Dmitrienko, Stefan Nürnberger, Ahmad-Reza Sadeghi Gadge me if you can: secure and efficient ad-hoc instruction-level randomization for x86 and ARM. Search on Bibsonomy AsiaCCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Ryad Benadjila, Jian Guo 0001, Victor Lomné, Thomas Peyrin Implementing Lightweight Block Ciphers on x86 Architectures. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Erdem Aktas, Kanad Ghose Run-time control flow authentication: an assessment on contemporary x86 platforms. Search on Bibsonomy SAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Emily R. Blem, Jaikrishnan Menon, Karthikeyan Sankaralingam Power struggles: Revisiting the RISC vs. CISC debate on contemporary ARM and x86 architectures. Search on Bibsonomy HPCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Furat Afram, Hui Zeng, Kanad Ghose A group-commit mechanism for ROB-based processors implementing the X86 ISA. Search on Bibsonomy HPCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Ryan Johnson 0002, Angelos Stavrou Forced-Path Execution for Android Applications on x86 Platforms. Search on Bibsonomy SERE (Companion) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18David Brumley, JongHyup Lee, Edward J. Schwartz, Maverick Woo Native x86 Decompilation Using Semantics-Preserving Structural Analysis and Iterative Control-Flow Structuring. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2013 DBLP  BibTeX  RDF
18Jeff Rupley, John King, Eric Quinnell, Frank Galloway, Ken Patton, Peter-Michael Seidel, James Dinh, Hai Bui, Anasua Bhowmik The Floating-Point Unit of the Jaguar x86 Core. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Xing Liu, Mikhail Smelyanskiy, Edmond Chow, Pradeep Dubey Efficient sparse matrix-vector multiplication on x86-based many-core processors. Search on Bibsonomy ICS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Teja Singh, Joshua Bell, Shane Southard Jaguar: A next-generation low-power x86-64 core. Search on Bibsonomy ISSCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Magnus O. Myreen, Gregorio Curello Proof Pearl: A Verified Bignum Implementation in x86-64 Machine Code. Search on Bibsonomy CPP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Qian Wang, Xianyi Zhang, Yunquan Zhang, Qing Yi AUGEM: automatically generate high performance dense linear algebra kernels on x86 CPUs. Search on Bibsonomy SC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Adam Morrison 0001, Yehuda Afek Fast concurrent queues for x86 processors. Search on Bibsonomy PPoPP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Hugh McIntyre, Srikanth Arekapudi, Eric Busta, Timothy C. Fischer, Michael Golden, Aaron Horiuchi, Tom Meneghini, Samuel Naffziger, James Vinh Design of the Two-Core x86-64 AMD "Bulldozer" Module in 32 nm SOI CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Denis Foley, Pankaj Bansal, Don Cherepacha, Robert Wasmuth, Aswin Gunasekar, Srinivasa Rao Gutta, Ajay Naini A Low-Power Integrated x86-64 and Graphics Processor for Mobile Computing Devices. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Edouard Bugnion, Scott Devine, Mendel Rosenblum, Jeremy Sugerman, Edward Y. Wang Bringing Virtualization to the x86 Architecture with the Original VMware Workstation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Rafael Vidal Aroca, Luiz Marcos Garcia Gonçalves Towards green data centers: A comparison of x86 and ARM architectures power efficiency. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Amitabha Roy 0002, Steven Hand 0001, Tim Harris 0001 Weak atomicity for the x86 memory consistency model. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Jeroen Van Cleemput, Bart Coppens 0001, Bjorn De Sutter Compiler mitigations for time attacks on modern x86 processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Jeff Rupley "Jaguar" AMD's next generation low power x86 core. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Amit Vasudevan, Jonathan M. McCune, James Newsome, Adrian Perrig, Leendert van Doorn CARMA: a hardware tamper-resistant isolated execution environment on commodity x86 platforms. Search on Bibsonomy AsiaCCS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Richard Wartell, Vishwath Mohan, Kevin W. Hamlen, Zhiqiang Lin Binary stirring: self-randomizing instruction addresses of legacy x86 binary code. Search on Bibsonomy CCS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Keaton Mowery, Sriram Keelveedhi, Hovav Shacham Are AES x86 cache timing attacks still feasible? Search on Bibsonomy CCSW The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Alexander Heinecke, Thomas Auckenthaler, Carsten Trinitis Exploiting State-of-the-Art x86 Architectures in Scientific Computing. Search on Bibsonomy ISPDC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Christian Engelbert Ngono, Thomas Djotio Ndié Implementation of a Function VoWiFi Communication on Android-x86. Search on Bibsonomy AFRICOMM The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Keji Chen, Yizhou Duan, Leju Yan, Jun Sun 0012, Zongming Guo Efficient SIMD optimization of HEVC encoder over X86 processors. Search on Bibsonomy APSIPA The full citation details ... 2012 DBLP  BibTeX  RDF
18Leju Yan, Yizhou Duan, Jun Sun 0012, Zongming Guo Implementation of HEVC decoder on x86 processors with SIMD optimization. Search on Bibsonomy VCIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Kirill Kononenko A unified approach to identifying and healing vulnerabilities in x86 machine code. Search on Bibsonomy MobiCom The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Antoni Portero, Alberto Scionti, Zhibin Yu, Paolo Faraboschi, Caroline Concatto, Luigi Carro, Arne Garbade, Sebastian Weis, Theo Ungerer, Roberto Giorgi Simulating the future kilo-x86-64 core processors and their infrastructure. Search on Bibsonomy SpringSim (ANSS) The full citation details ... 2012 DBLP  BibTeX  RDF
18Dhiraj D. Kalamkar, Joshua D. Trzasko, Srinivas Sridharan 0002, Mikhail Smelyanskiy, Daehyun Kim 0001, Armando Manduca, Yunhong Shu, Matt A. Bernstein, Bharat Kaul, Pradeep Dubey High Performance Non-uniform FFT on Modern X86-based Multi-core Systems. Search on Bibsonomy IPDPS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Takayoshi Fujii, Katsunari Yoshioka, Junji Shikata, Tsutomu Matsumoto An Efficient Dynamic Detection Method for Various x86 Shellcodes. Search on Bibsonomy SAINT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Greg Morrisett, Gang Tan, Joseph Tassarotti, Jean-Baptiste Tristan, Edward Gan RockSalt: better, faster, stronger SFI for the x86. Search on Bibsonomy PLDI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Nishkam Ravi, Yi Yang 0018, Tao Bao, Srimat T. Chakradhar Apricot: an optimizing compiler and productivity tool for x86-compatible many-core coprocessors. Search on Bibsonomy ICS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Steve J. Dillen, Donald A. Priore, Aaron Horiuchi, Samuel Naffziger Design and implementation of soft-edge flip-flops for x86-64 AMD microprocessor modules. Search on Bibsonomy CICC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Visvesh S. Sathe 0001, Srikanth Arekapudi, Charles Ouyang, Marios C. Papaefthymiou, Alexander T. Ishii, Samuel Naffziger Resonant clock design for a power-efficient high-volume x86-64 microprocessor. Search on Bibsonomy ISSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Hasnain Lakdawala, Mark Schaecher, Chang-Tsung Fu, Rahul Dilip Limaye, Jon Duster, Yulin Tan, Ajay Balankutty, Erkan Alpman, Chun C. Lee, Satoshi Suzuki, Brent R. Carlton, Hyung Seok Kim, Marian Verhelst, Stefano Pellerano, Tong Kim, Durgesh Srivastava, Satish Venkatesan, Hyung-Jin Lee, Peter Vandervoorn, Jad Rizk, Chia-Hong Jan, Krishnamurthy Soumyanath, Sunder Ramamurthy 32nm x86 OS-compliant PC on-chip with dual-core Atom® processor and RF WiFi transceiver. Search on Bibsonomy ISSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Svilen Kanev, Gu-Yeon Wei, David M. Brooks XIOSim: power-performance modeling of mobile x86 cores. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Aaron Rogers, David Kaplan, Eric Quinnell, Bill Kwan The core-C6 (CC6) sleep state of the AMD bobcat x86 microprocessor. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Wing-Chi Poon, Aloysius K. Mok Improving the Latency of VMExit Forwarding in Recursive Virtualization for the x86 Architecture. Search on Bibsonomy HICSS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Jae-Won Min, Sung-Min Jung, Dong-Young Lee, Tai-Myoung Chung Jump Oriented Programming on Windows Platform (on the x86). Search on Bibsonomy ICCSA (3) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Zongwei Zhou, Virgil D. Gligor, James Newsome, Jonathan M. McCune Building Verifiable Trusted Path on Commodity x86 Computers. Search on Bibsonomy IEEE Symposium on Security and Privacy The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Ulf Kargén, Nahid Shahmehri InputTracer: A Data-Flow Analysis Tool for Manual Program Comprehension of x86 Binaries. Search on Bibsonomy SCAM The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Ulan Degenbaev Formal specification of the x86 instruction set architecture. Search on Bibsonomy 2012   RDF
18Josef Weidendorfer Intel Core Microarchitecture, x86 Processor Family. Search on Bibsonomy Encyclopedia of Parallel Computing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ravi Jotwani, Sriram Sundaram, Stephen Kosonocky, Alex Schaefer, Victor Andrade, Amy Novak, Sam Naffziger An x86-64 Core in 32 nm SOI CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Brad Burgess, Brad Cohen, Marvin Denman, Jim Dundas, David Kaplan, Jeff Rupley Bobcat: AMD's Low-Power x86 Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Rubén Apolloni, Daniel Chaver, Fernando Castro, Luis Piñuel, Manuel Prieto 0001, Francisco Tirado Hybrid timing-address oriented load-store queue filtering for an x86 architecture. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Francisco G. Montoya, Alfredo Alcayde, Pedro Sánchez, Consolación Gil, Maria Dolores Gil Montoya, Julio Gómez Comparative analysis of power variables in high performance embedded and x86 architectures using GNU/Linux. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Thomas Sperl Taking the redpill: Artificial Evolution in native x86 systems Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
18Peter-Michael Seidel Formal Verification of an Iterative Low-Power x86 Floating-Point Multiplier with Redundant Feedback Search on Bibsonomy ACL2 The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Don Revelle Hypervisors and Virtual Machines: Implementation Insights on the x86 Architecture. Search on Bibsonomy login Usenix Mag. The full citation details ... 2011 DBLP  BibTeX  RDF
18Chi-Keung Luk, Ryan Newton, William Hasenplaugh, Mark Hampton, Geoff Lowney A Synergetic Approach to Throughput Computing on x86-Based Multicore Desktops. Search on Bibsonomy IEEE Softw. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Sean White High-performance power-efficient x86-64 server and desktop processors using the core codenamed "Bulldozer". Search on Bibsonomy Hot Chips Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Richard Wartell, Yan Zhou 0001, Kevin W. Hamlen, Murat Kantarcioglu, Bhavani Thuraisingham Differentiating Code from Data in x86 Binaries. Search on Bibsonomy ECML/PKDD (3) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ping Chen 0003, Xiao Xing, Bing Mao, Li Xie 0001, Xiaobin Shen 0001, Xinchun Yin Automatic construction of jump-oriented programming shellcode (on the x86). Search on Bibsonomy AsiaCCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ahmed M. Azab, Peng Ning, Xiaolan Zhang 0001 SICE: a hardware-level strongly isolated computing environment for x86 multi-core platforms. Search on Bibsonomy CCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18J.-M. Huang, R. R. Xiao, H. Guo, K. Han A Method Based on Bochs for Accelerating the x86 Timing Emulator. Search on Bibsonomy CSISE (2) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Gilles Pokam, Cristiano Pereira, Shiliang Hu, Ali-Reza Adl-Tabatabai, Justin Emile Gottschlich, Jungwoo Ha, Youfeng Wu CoreRacer: a practical memory race recorder for multicore x86 TSO processors. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Jan Treibig, Georg Hager, Gerhard Wellein likwid-bench: An Extensible Microbenchmarking Platform for x86 Multicore Compute Nodes. Search on Bibsonomy Parallel Tools Workshop The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Renan Augusto Starke, Rômulo Silva de Oliveira Impact of the x86 System Management Mode in Real-Time Systems. Search on Bibsonomy SBESC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Hussein Karaki, Haitham Akkary, Shahrokh Shahidzadeh X86-ARM binary hardware interpreter. Search on Bibsonomy ICECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Chao Wang 0001, Malay K. Ganai Predicting Concurrency Failures in the Generalized Execution Traces of x86 Executables. Search on Bibsonomy RV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Michael David Black, Priyadarshini Komala A full system x86 simulator for teaching computer organization. Search on Bibsonomy SIGCSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Santosh Sonawane, Tzi-cker Chiueh Optimization of an Instrumentation Tool for Stripped Win32/X86 Binaries. Search on Bibsonomy ICPADS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Michael Golden, Srikanth Arekapudi, James Vinh 40-Entry unified out-of-order scheduler and integer execution unit for the AMD Bulldozer x86-64 core. Search on Bibsonomy ISSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Srinivasa Rao Gutta, Denis Foley, Ajay Naini, Robert Wasmuth, Don Cherepacha A low-power integrated x86-64 and graphics processor for mobile computing devices. Search on Bibsonomy ISSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Amitabha Roy 0002, Steven Hand 0001, Tim Harris 0001 Weak atomicity under the x86 memory consistency model. Search on Bibsonomy PPoPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Kevin Coogan, Saumya K. Debray Equational Reasoning on x86 Assembly Code. Search on Bibsonomy SCAM The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Avadh Patel, Furat Afram, Shunfei Chen, Kanad Ghose MARSS: a full system simulator for multicore x86 CPUs. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Amitabha Roy 0002 Software lock elision for x86 machine code. Search on Bibsonomy 2011   RDF
18Jih-Ching Chiu, Yu-Liang Chou, Tseng-Kuei Lin The Basic Block Reassembling Instruction Stream Buffer with LWBTB for X86 ISA. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2010 DBLP  BibTeX  RDF
18Frederick Ryckbosch, Stijn Polfliet, Lieven Eeckhout Fast, Accurate, and Validated Full-System Software Simulation of x86 Hardware. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Emmet Caulfield, Andreas Hellander CellMC - a multiplatform model compiler for the Cell Broadband Engine and x86. Search on Bibsonomy Bioinform. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Adrianto Wirawan, Chee Keong Kwoh 0001, Bertil Schmidt Multi-threaded vectorized distance matrix computation on the CELL/BE and x86/SSE2 architectures. Search on Bibsonomy Bioinform. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 625 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license