The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ryo Onishi, Koki Miyamoto, Korkut Kaan Tokgoz, Noboru Ishihara, Hiroyuki Ito Capacitive Sensor Circuit with Relative Slope-Boost Method Based on a Relaxation Oscillator. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Uzair Sharif, Daniel Mueller-Gritschneder, Ulf Schlichtmann Investigating the Inherent Soft Error Resilience of Embedded Applications by Full-System Simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kuei-Huan Chang, Po-Hao Huang, Honggang Yu, Yier Jin, Ting-Chi Wang Audio Adversarial Examples Generation with Recurrent Neural Networks*. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Junichiro Kadomoto, Satoshi Mitsuno, Hidetsugu Irie, Shuichi Sakai An Inductively Coupled Wireless Bus for Chiplet-Based Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Fritjof Bornebusch, Christoph Lüth, Robert Wille, Rolf Drechsler Towards Automatic Hardware Synthesis from Formal Specification to Implementation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Gabriel Busnot, Tanguy Sassolas, Nicolas Ventroux, Matthieu Moy Standard-compliant Parallel SystemC simulation of Loosely-Timed Transaction Level Models. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hemanta Kumar Mondal, Navonil Chatterjee, Rodrigo Cataldo, Jean-Philippe Diguet Broadcast Mechanism Based on Hybrid Wireless/Wired NoC for Efficient Barrier Synchronization in Parallel Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Fan Chen 0001, Linghao Song, Hai Helen Li, Yiran Chen 0001 PARC: A Processing-in-CAM Architecture for Genomic Long Read Pairwise Alignment using ReRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Niklas Kochdumper, Ahmad Tarraf, Malgorzata Rechmal, Markus Olbrich, Lars Hedrich, Matthias Althoff Establishing Reachset Conformance for the Formal Analysis of Analog Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dongwon Park, Daeyeal Lee, Ilgweon Kang, Sicun Gao, Bill Lin 0001, Chung-Kuan Cheng SP&R: Simultaneous Placement and Routing framework for standard cell synthesis in sub-7nm. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yandong Luo, Shimeng Yu Benchmark Non-volatile and Volatile Memory Based Hybrid Precision Synapses for In-situ Deep Neural Network Training. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sayandeep Sanyal, Aritra Hazra, Pallab Dasgupta, Scott Morrison, Sudhakar Surendran, Lakshmanan Balasubramanian The Notion of Cross Coverage in AMS Design Verification. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Baogang Zhang, Necati Uysal, Deliang Fan, Rickard Ewetz Representable Matrices: Enabling High Accuracy Analog Computation for Inference of DNNs using Memristors. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kai Ni 0004, Sourav Dutta, Suman Datta Ferroelectrics: From Memory to Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Limin Wang, Ziyuan Zhu, Zhanpeng Wang, Dan Meng Analyzing The Security of The Cache Side Channel Defences With Attack Graphs. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shaobin Ma, Xiaoyi Wang, Sheldon X.-D. Tan, Liang Chen 0025, Jian He An Adaptive Electromigration Assessment Algorithm for Full-chip Power/Ground Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Grace Li Zhang, Michaela Brunner, Bing Li 0005, Georg Sigl, Ulf Schlichtmann Timing Resilience for Efficient and Secure Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Li Yang 0009, Shaahin Angizi, Deliang Fan A Flexible Processing-in-Memory Accelerator for Dynamic Channel-Adaptive Deep Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yen-Ting Chen, Ming-Chang Yang, Yuan-Hao Chang 0001, Wei-Kuan Shih Parallel-Log-Single-Compaction-Tree: Flash-Friendly Two-Level Key-Value Management in KVSSDs. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Qian Lou, Wenyang Liu, Weichen Liu, Feng Guo, Lei Jiang 0001 MindReading: An Ultra-Low-Power Photonic Accelerator for EEG-based Human Intention Recognition. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dae Hee Kim, Rakesh Nagi, Deming Chen Thanos: High-Performance CPU-GPU Based Balanced Graph Partitioning Using Cross-Decomposition. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1M. D. Arafat Kabir, Yarui Peng Chiplet-Package Co-Design For 2.5D Systems Using Standard ASIC CAD Tools. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mohamed Baker Alawieh, Wuxi Li, Yibo Lin, Love Singhal, Mahesh A. Iyer, David Z. Pan High-Definition Routing Congestion Prediction for Large-Scale FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chihiro Yoshimura, Masato Hayashi, Takashi Takemoto, Masanao Yamaoka CMOS Annealing Machine: A Domain-Specific Architecture for Combinatorial Optimization Problem. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yangdi Lyu, Prabhat Mishra 0001 Automated Test Generation for Activation of Assertions in RTL Models. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Christopher Münch, Rajendra Bishnoi, Mehdi Baradaran Tahoori Tolerating Retention Failures in Neuromorphic Fabric based on Emerging Resistive Memories. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Pruthvy Yellu, Mohammad Mezanur Rahman Monjur, Timothy Kammerer, Dongpeng Xu 0001, Qiaoyan Yu Security Threats and Countermeasures for Approximate Arithmetic Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jung-Woo Chang, Saehyun Ahn, Keon-Woo Kang, Suk-Ju Kang Towards Design Methodology of Efficient Fast Algorithms for Accelerating Generative Adversarial Networks on FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhanwei Zhong, Tung-Che Liang, Krishnendu Chakrabarty Reliability-Oriented IEEE Std. 1687 Network Design and Block-Aware High-Level Synthesis for MEDA Biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chiou-Yng Lee, Jiafeng Xie Efficient Subquadratic Space Complexity Digit-Serial Multipliers over GF(2m) based on Bivariate Polynomial Basis Representation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yi Wang 0003, Shangyu Wu, Rui Mao 0001 Towards Read-Intensive Key-Value Stores with Tidal Structure Based on LSM-Tree. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sidhartha Sankar Rout, Badri M, Sujay Deb Reutilization of Trace Buffers for Performance Enhancement of NoC based MPSoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kevin E. Murray, Sheng Zhong, Vaughn Betz AIR: A Fast but Lazy Timing-Driven FPGA Router. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Satoshi Matsubara, Motomu Takatsu, Toshiyuki Miyazawa, Takayuki Shibasaki, Yasuhiro Watanabe, Kazuya Takemoto, Hirotaka Tamura Digital Annealer for High-Speed Solving of Combinatorial optimization Problems and Its Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yujie Zhu, Xue Zhao, Keni Qiu Insights and Optimizations on IR-drop Induced Sneak-Path for RRAM Crossbar-based Convolutions. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Reika Kinoshita, Chihiro Matsui, Atsuya Suzuki, Shouhei Fukuyama, Ken Takeuchi Workload-aware Data-eviction Self-adjusting System of Multi-SCM Storage to Resolve Trade-off between SCM Data-retention Error and Storage System Performance. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Peng Chen 0027, Weichen Liu, Mengquan Li, Lei Yang 0018, Nan Guan Contention Minimized Bypassing in SMART NoC. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Bosheng Liu, Xiaoming Chen 0003, Yinhe Han 0001, Ying Wang 0001, Jiajun Li, Haobo Xu, Xiaowei Li 0001 Search-free Accelerator for Sparse Convolutional Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hussam Amrouch, Victor M. van Santen, Girish Pahwa, Yogesh Singh Chauhan, Jörg Henkel NCFET to Rescue Technology Scaling: Opportunities and Challenges. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yangdi Lyu, Prabhat Mishra 0001 Automated Trigger Activation by Repeated Maximal Clique Sampling. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hassaan Saadat, Haris Javaid, Aleksandar Ignjatovic, Sri Parameswaran WEID: Worst-case Error Improvement in Approximate Dividers. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Stefan Hillmich, Alwin Zulehner, Robert Wille Concurrency in DD-based Quantum Circuit Simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wenshuo Li, Xuefei Ning, Guangjun Ge, Xiaoming Chen 0003, Yu Wang 0002, Huazhong Yang FTT-NAS: Discovering Fault-Tolerant Neural Architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Bin Gao Emerging Non-Volatile Memories for Computation-in-Memory. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shu Tanaka, Yoshiki Matsuda, Nozomu Togawa Theory of Ising Machines and a Common Software Platform for Ising Machines. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Alwin Zulehner, Stefan Hillmich, Igor L. Markov, Robert Wille Approximation of Quantum States Using Decision Diagrams. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Noel Daniel Gundi, Tahmoures Shabanian, Prabal Basu, Pramesh Pandey, Sanghamitra Roy, Koushik Chakraborty, Zhen Zhang 0006 EFFORT: Enhancing Energy Efficiency and Error Resilience of a Near-Threshold Tensor Processing Unit. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sheng-Jung Yu, Chen-Chien Kao, Chia-Han Huang, Iris Hui-Ru Jiang Equivalent Capacitance Guided Dummy Fill Insertion for Timing and Manufacturability. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Satoru Maruyama, Debraj Kundu, Shigeru Yamashita, Sudip Roy 0001 Optimization of Fluid Loading on Programmable Microfluidic Devices for Bio-protocol Execution. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zheyu Yan, Yiyu Shi 0001, Wang Liao, Masanori Hashimoto, Xichuan Zhou, Cheng Zhuo When Single Event Upset Meets Deep Neural Networks: Observations, Explorations, and Remedies. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jeongwoo Heo, Kwangok Jeong, Taewhan Kim, Kyu-Myung Choi Synthesis of Hardware Performance Monitoring and Prediction Flow Adapting to Near-Threshold Computing and Advanced Process Nodes. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sujin Park, Geon-Hwi Lee, Seungmin Oh, SeongHwan Cho A Capacitance-to-Digital Converter with Differential Bondwire Accelerometer, On-chip Air Pressure and Humidity Sensor in 0.18 μm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Baoting Li, Longjun Liu, Yanming Jin, Peng Gao, Hongbin Sun 0001, Nanning Zheng 0001 Designing Efficient Shortcut Architecture for Improving the Accuracy of Fully Quantized Neural Networks Accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wenjian Yu, Ming Yang 0033, Yao Feng, Ganqu Cui, Ben Gu Capacitance Extraction and Power Grid Analysis Using Statistical and AI Methods. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chun-Han Chiang, Fu-Yu Chuang, Yao-Wen Chang Unified Redistribution Layer Routing for 2.5D IC Packages. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Juejian Wu, Yixin Xu, Bowen Xue, Yu Wang 0002, Yongpan Liu, Huazhong Yang, Xueqing Li Adaptive Circuit Approaches to Low-Power Multi-Level/Cell FeFET Memory. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kento Hasegawa, Ryota Ishikawa, Makoto Nishizawa, Kazushi Kawamura, Masashi Tawada, Nozomu Togawa FPGA-based Heterogeneous Solver for Three-Dimensional Routing. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Victor M. van Santen, Paul R. Genssler, Om Prakash 0007, Simon Thomann, Jörg Henkel, Hussam Amrouch Impact of Self-Heating on Performance, Power and Reliability in FinFET Technology. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shikhar Tuli, Marco Rios, Alexandre Levisse, David Atienza RRAM-VAC: A Variability-Aware Controller for RRAM-based Memory Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shuo-Han Chen, Yu-Pei Liang, Yuan-Hao Chang 0001, Hsin-Wen Wei, Wei-Kuan Shih Boosting the Profitability of NVRAM-based Storage Devices via the Concept of Dual-Chunking Data Deduplication. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1He Zhou, Sunil P. Khatri, Jiang Hu, Frank Liu 0001 Scaled Population Arithmetic for Efficient Stochastic Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xiaolong Ma, Geng Yuan, Sheng Lin 0001, Caiwen Ding, Fuxun Yu, Tao Liu 0023, Wujie Wen, Xiang Chen 0010, Yanzhi Wang Tiny but Accurate: A Pruned, Quantized and Optimized Memristor Crossbar Framework for Ultra Efficient DNN Implementation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hongfei Wang, Wenjie Cai, Jianwen Li, Kun He 0001 Exploring Graphical Models with Bayesian Learning and MCMC for Failure Diagnosis. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jiaqi Gu, Zheng Zhao 0003, Chenghao Feng, Mingjie Liu, Ray T. Chen, David Z. Pan Towards Area-Efficient Optical Neural Networks: An FFT-based Architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1 25th Asia and South Pacific Design Automation Conference, ASP-DAC 2020, Beijing, China, January 13-16, 2020 Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  BibTeX  RDF
1Jürgen Teich, Behnaz Pourmohseni, Oliver Keszöcze, Jan Spieck, Stefan Wildermann Run-Time Enforcement of Non-Functional Application Requirements in Heterogeneous Many-Core Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Han Zhou 0002, Shuyuan Yu, Zeyu Sun 0001, Sheldon X.-D. Tan Reliable Power Grid Network Design Framework Considering EM Immortalities for Multi-Segment Wires. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mian Qin, Joo Hwan Lee, Rekha Pitchumani, Yang-Seok Ki, A. L. Narasimha Reddy, Paul V. Gratz A Generic FPGA Accelerator for Minimum Storage Regenerating Codes. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Linghao Song, Fan Chen 0001, Yiran Chen 0001, Hai Helen Li Parallelism in Deep Learning Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hanbo Sun, Zhenhua Zhu, Yi Cai 0003, Xiaoming Chen 0003, Yu Wang 0002, Huazhong Yang An Energy-Efficient Quantized and Regularized Training Framework For Processing-In-Memory Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Minli Julie Liao, Jack Sampson Emerging memories as enablers for in-memory layout transformation acceleration and virtualization. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shasha Guo, Ziyang Kang, Lei Wang 0011, Shiming Li, Weixia Xu HashHeat: An O(C) Complexity Hashing-based Filter for Dynamic Vision Sensor. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dennis D. Weller, Michael Hefenbrock, Mehdi Baradaran Tahoori, Jasmin Aghassi-Hagmann, Michael Beigl Programmable Neuromorphic Circuit based on Printed Electrolyte-Gated Transistors. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Lei Yang 0018, Weiwen Jiang, Weichen Liu, Edwin H.-M. Sha, Yiyu Shi 0001, Jingtong Hu Co-Exploring Neural Architecture and Network-on-Chip Design for Real-Time Artificial Intelligence. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mathieu Jan, Mihail Asavoae, Martin Schoeberl, Edward A. Lee Formal Semantics of Predictable Pipelines: a Comparative Study. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yuki Kume, Song Bian 0001, Takashi Sato A Tuning-Free Hardware Reservoir Based on MOSFET Crossbar Array for Practical Echo State Network Implementation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jiaji He, Haocheng Ma, Xiaolong Guo, Yiqiang Zhao, Yier Jin Design for EM Side-Channel Security through Quantitative Assessment of RTL Implementations. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jintao Yu, Muath Abu Lebdeh, Hoang Anh Du Nguyen, Mottaqiallah Taouil, Said Hamdioui The Power of Computation-in-Memory Based on Memristive Devices. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhaohui Chen, Yuan Ma, Tianyu Chen, Jingqiang Lin, Jiwu Jing Towards Efficient Kyber on FPGAs: A Processor for Vector of Polynomials. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Vidya A. Chhabria, Andrew B. Kahng, Minsoo Kim, Uday Mallappa, Sachin S. Sapatnekar, Bangqi Xu Template-based PDN Synthesis in Floorplan and Placement Using Classifier and CNN Techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Abdelrahman Hosny, Soheil Hashemi, Mohamed Shalan, Sherief Reda DRiLLS: Deep Reinforcement Learning for Logic Synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Lin Cheng 0001, Xinyuan Ge, Wai Chiu Ng, Wing-Hung Ki, Jiawei Zheng, Tsz Fai Kwok, Chi-Ying Tsui, Ming Liu 0022 Design of a Single-Stage Wireless Charger with 92.3%-Peak-Efficiency for Portable Devices Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhe Lin 0007, Jieru Zhao, Sharad Sinha, Wei Zhang 0012 HL-Pow: A Learning-Based Power Modeling Framework for High-Level Synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shuhan Zhang, Fan Yang 0001, Dian Zhou, Xuan Zeng 0001 Bayesian Methods for the Yield Optimization of Analog and SRAM Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Younggwang Jung, Daijoon Hyun, Youngsoo Shin Integrated Airgap Insertion and Layer Reassignment for Circuit Timing optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zahra Ebrahimi, Salim Ullah, Akash Kumar 0001 LeAp: Leading-one Detection-based Softcore Approximate Multipliers with Tunable Accuracy. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Christian Hakert, Kuan-Hsun Chen, Mikail Yayla, Georg von der Brüggen, Sebastian Blömeke, Jian-Jia Chen Software-Based Memory Analysis Environments for In-Memory Wear-Leveling. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mengyuan Li, Xunzhao Yin, Xiaobo Sharon Hu, Cheng Zhuo Nonvolatile and Energy-Efficient FeFET-Based Multiplier for Energy-Harvesting Devices. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ying Li, Jinyu Zhan, Wei Jiang 0016, Junting Wu, Jianping Zhu An FPGA based Network Interface Card with Query Filter for Storage Nodes of Big Data Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhiyao Xie, Haoxing Ren, Brucek Khailany, Ye Sheng, Santosh Santosh, Jiang Hu, Yiran Chen 0001 PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zheng Li 0021, Jian Pang, Ryo Kubozoe, Xueting Luo, Rui Wu 0001, Yun Wang 0008, Dongwon You, Ashbir Aviat Fadila, Joshua Alvin, Bangan Liu, Zheng Sun, Hongye Huang, Atsushi Shirane, Kenichi Okada A 28GHz CMOS Differential Bi-Directional Amplifier for 5G NR. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mingjie Liu, Wuxi Li, Keren Zhu 0001, Biying Xu, Yibo Lin, Linxiao Shen, Xiyuan Tang, Nan Sun 0001, David Z. Pan S3DET: Detecting System Symmetry Constraints for Analog Circuits with Graph Similarity. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yi Guo, Heming Sun, Shinji Kimura Small-Area and Low-Power FPGA-Based Multipliers using Approximate Elementary Modules. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Masanori Hashimoto, Wang Liao Soft Error and Its Countermeasures in Terrestrial Environment. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chaofei Yang, Hai Li 0001, Yiran Chen 0001, Jiang Hu Enhancing Generalization of Wafer Defect Detection by Data Discrepancy-aware Preprocessing and Contrast-varied Augmentation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sheriff Sadiqbatcha, Yue Zhao, Jinwei Zhang, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan Machine Learning Based Online Full-Chip Heatmap Estimation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zirui Xu, Fuxun Yu, Xiang Chen 0010 LanCe: A Comprehensive and Lightweight CNN Defense Methodology against Physical Adversarial Attacks on Embedded Multimedia Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Haoyu Yang, Wei Zhong, Yuzhe Ma, Hao Geng, Ran Chen, Wanli Chen, Bei Yu 0001 VLSI Mask Optimization: From Shallow To Deep Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wenjian He, Wei Zhang 0012, Sharad Sinha, Sanjeev Das iGPU Leak: An Information Leakage Vulnerability on Intel Integrated GPU. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhiyao Xie, Guan-Qi Fang, Yu-Hung Huang, Haoxing Ren, Yanqing Zhang 0002, Brucek Khailany, Shao-Yun Fang, Jiang Hu, Yiran Chen 0001, Erick Carvajal Barboza FIST: A Feature-Importance Sampling and Tree-Based Method for Automatic Design Flow Parameter Tuning. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 3979 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license