Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
23 | Steven D. Corey, Andrew T. Yang |
Automatic netlist extraction for measurement-based characterization of off-chip interconnect. |
ICCAD |
1996 |
DBLP DOI BibTeX RDF |
MCM substrate-level interconnect circuitry, SPICE netlist, automatic netlist extraction, linear circuits, measured time domain refectometry data, measurement-based characterization, microstrip circuits, multiport system, off-chip interconnect, reflection transmission, time-domain scattering parameters, user-specified cutoff frequency, delay, crosstalk, circuit simulator, multichip modules, nonlinear circuits |
23 | Kai-Yuan Chao, D. F. Wong 0001 |
Signal integrity optimization on the pad assignment for high-speed VLSI design. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
pad assignment, simultaneous swiching Noise, floorplanning, crosstalk, signal integrity, packaging |
21 | Marti A. Motoyama, George Varghese |
CrossTalk: scalably interconnecting instant messaging networks. |
WOSN |
2009 |
DBLP DOI BibTeX RDF |
interconnection, DHT, instant messaging, XMPP |
21 | Debasish Das, William Scott, Shahin Nazarian, Hai Zhou 0001 |
An efficient current-based logic cell model for crosstalk delay analysis. |
ISQED |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Basel Halak, Alexandre Yakovlev |
Bandwidth-Centric Optimisation for Area-Constrained Links with Crosstalk Avoidance Methods. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Yiteng Huang, Jacob Benesty, Jingdong Clien |
Generalized crosstalk cancellation and equalization using multiple loudspeakers for 3D sound reproduction at the ears of multiple listeners. |
ICASSP |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Partha Kanuparthy, Constantine Dovrolis, Mostafa H. Ammar |
Spectral probing, crosstalk and frequency multiplexing in internet paths. |
Internet Measurement Conference |
2008 |
DBLP DOI BibTeX RDF |
frequency multiplexing, signal processing, network management, fourier transform, active probing, distributed agents |
21 | Raphael Cendrillon, George Ginis, Etienne Van den Bogaert, Marc Moonen |
A Near-Optimal Linear Crosstalk Precoder for Downstream VDSL. |
IEEE Trans. Commun. |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, Cristian Grecu |
Addressing Signal Integrity in Networks on Chip Interconnects through Crosstalk-Aware Double Error Correction Coding. |
ISVLSI |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Akira Mochizuki, Masatomo Miura, Takahiro Hanyu |
High-Performance Multiple-Valued Comparator Based on Active-Load Dual-Rail Differential Logic for Crosstalk-Noise Reduction. |
ISMVL |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Katherine Shu-Min Li, Chauchin Su, Yao-Wen Chang, Chung-Len Lee 0001, Jwu E. Chen |
IEEE Standard 1500 Compatible Interconnect Diagnosis for Delay and Crosstalk Faults. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Sampo Tuuna, Jouni Isoaho, Hannu Tenhunen |
Analytical model for crosstalk and intersymbol interference in point-to-point buses. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Saihua Lin, Huazhong Yang |
Worst Case Crosstalk Noise Effect Analysis in DSM Circuits by ABCD Modeling. |
PATMOS |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Martin Omaña 0001, José Manuel Cazeaux, Daniele Rossi 0001, Cecilia Metra |
Low-cost and highly reliable detector for transient and crosstalk faults affecting FPGA interconnects. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Katherine Shu-Min Li, Yao-Wen Chang, Chauchin Su, Chung-Len Lee 0001, Jwu E. Chen |
IEEE standard 1500 compatible interconnect diagnosis for delay and crosstalk faults. |
ASP-DAC |
2006 |
DBLP DOI BibTeX RDF |
|
21 | H. J. Kadim, Lacina M. Coulibaly |
EM-based analytical model for estimation of worst-case crosstalk noise. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Itisha Chanodia, Dimitrios Velenis |
Effects of crosstalk noise on H-tree clock distribution networks. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Partha Pratim Pande, Amlan Ganguly, Brett Feero, Benjamin Belzer, Cristian Grecu |
Design of Low power & Reliable Networks on Chip through joint crosstalk avoidance and forward error correction coding. |
DFT |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Shweta Chary, Michael L. Bushnell |
Analog Macromodeling for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. |
VLSI Design |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Shweta Chary, Michael L. Bushnell |
Automatic Path-Delay Fault Test Generation for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. |
VLSI Design |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Sachin Shrivastava, Harindranath Parameswaran, Rajendra Pratap |
Design Partitioning for Reducing Crosstalk Analysis Time. |
APCCAS |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Jun Chen 0008, Lei He 0001 |
Worst case crosstalk noise for nonswitching victims in high-speed buses. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Sandip Kundu, Sujit T. Zachariah, Yi-Shing Chang, Chandra Tirumurti |
On modeling crosstalk faults. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Daniele Rossi 0001, André K. Nieuwland, Atul Katoch, Cecilia Metra |
Exploiting ECC Redundancy to Minimize Crosstalk Impact. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Ming Shae Wu, Chung-Len Lee |
Using a Periodic Square Wave Test Signal to Detect Crosstalk Faults. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Yici Cai, Bin Liu 0007, Xiong Yan, Qiang Zhou 0001, Xianlong Hong |
A Hybrid Genetic Algorithm and Application to the Crosstalk Aware Track Assignment Problem. |
ICNC (3) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Lacina M. Coulibaly, H. J. Kadim |
Analytical crosstalk noise and its induced-delay estimation for distributed RLC interconnects under ramp excitation. |
ISCAS (2) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Carl Chun, Youngsik Hur, Moonkyun Maeng, Hyoungsoo Kim, Soumya Chandramouli, Edward Gebara, Joy Laskar |
A 0.18µm-CMOS near-end crosstalk (NEXT) noise canceller utilizing tunable active filters for 4-PAM/20Gbps throughput backplane channels. |
ISCAS (5) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Hao Yu 0001, Lei He 0001 |
Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction. |
ISQED |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Zahid Khan, Tughrul Arslan, Ahmet T. Erdogan |
A Novel Bus Encoding Scheme from Energy and Crosstalk Efficiency Perspective for AMBA Based Generic SoC Systems. |
VLSI Design |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj |
Postroute gate sizing for crosstalk noise reduction. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Murat R. Becer, Ravi Vaidyanathan, Chanhee Oh, Rajendran Panda |
Crosstalk noise control in an SoC physical design flow. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Jihong Ren, Mark R. Greenstreet |
Crosstalk Cancellation for Realistic PCB Buses. |
PATMOS |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Gabriella Trucco, Giorgio Boselli, Valentino Liberali |
A Study of Crosstalk Through Bonding and Package Parasitics in CMOS Mixed Analog-Digital Circuits. |
PATMOS |
2004 |
DBLP DOI BibTeX RDF |
|
21 | José Luis Rosselló, Jaume Segura 0001 |
A Compact Propagation Delay Model for Deep-Submicron CMOS Gates including Crosstalk. |
DATE |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Meeyoung Cha, Chun-Gi Lyuh, Taewhan Kim |
Resource-constrained low-power bus encoding with crosstalk delay elimination. |
ASP-DAC |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Kanak Agarwal, Dennis Sylvester, David T. Blaauw |
A simplified transmission-line based crosstalk noise model for on-chip RLC wiring. |
ASP-DAC |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Lei Wang, Sandeep K. Gupta 0001, Melvin A. Breuer |
Modeling and Simulation for Crosstalk Aggravated by Weak-Bridge Defects between On-Chip Interconnects. |
Asian Test Symposium |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Tianpei Zhang, Sachin S. Sapatnekar |
Simultaneous Shield and Buffer Insertion for Crosstalk Noise Reduction in Global Routing. |
ICCD |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Yinghua Li, Rajeev Murgai, Takashi Miyoshi, Ashwini Verma |
XTalkDelay: A Crosstalk-Aware Timing Analysis Tool for Chip-Level Designs. |
ICCD |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Rajeev C. Nongpiur, Dale J. Shpak, Andreas Antoniou |
Tracking performance of an FDLMS near-end crosstalk canceller for xDSL systems. |
ISCAS (3) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Herng-Jer Lee, Chia-Chi Chu, Wu-Shiung Feng |
Moment Computations of Nonuniform Distributed Coupled RLC Trees with Applications to Estimating Crosstalk Noise. |
ISQED |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Sreeram Chandrasekar, Sachin Shrivastava, Ajoy Mandal, Sornavalli Ramanathan |
An Efficient Approach to Crosstalk Noise Analysis at Multiple Operating Modes. |
VLSI Design |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Soha Hassoun, Christopher Cromer, Eduardo H. Calvillo Gámez |
Static timing analysis for level-clocked circuits in the presence of crosstalk. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Ryon M. Smey, Bill Swartz, Patrick H. Madden |
Crosstalk Reduction in Area Routing. |
DATE |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Seung Hoon Choi, Kaushik Roy 0001 |
A New Crosstalk Noise Model for DOMINO Logic Circuits. |
DATE |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj |
Post-Route Gate Sizing for Crosstalk Noise Reduction. |
ISQED |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Luca Macchiarulo, Enrico Macii, Massimo Poncino |
Wire Placement for Crosstalk Energy Minimization in Address Buses. |
DATE |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Marong Phadoongsidhi, Kim T. Le, Kewal K. Saluja |
A Concurrent Fault Simulation for Crosstalk Faults in Sequential Circuits. |
Asian Test Symposium |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Wichian Sirisaengtaksin, Sandeep K. Gupta 0001 |
Enhanced Crosstalk Fault Model and Methodology to Generate Tests for Arbitrary Inter-core Interconnect Topology. |
Asian Test Symposium |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Keith J. Keller, Hiroshi Takahashi, Kim T. Le, Kewal K. Saluja, Yuzo Takamatsu |
Reduction of Target Fault List for Crosstalk-Induced Delay Faults by using Layout Constraints. |
Asian Test Symposium |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Michael Redeker, Bruce F. Cockburn, Duncan G. Elliott |
An Investigation into Crosstalk Noise in DRAM Structures. |
MTDT |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Li Ding 0002, David T. Blaauw, Pinaki Mazumder |
Efficient crosstalk noise modeling using aggressor and tree reductions. |
ICCAD |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Pinhong Chen, Yuji Kukimoto, Kurt Keutzer |
Refining switching window by time slots for crosstalk noise calculation. |
ICCAD |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Hamidreza Hashempour, Yong-Bin Kim, Nohpill Park |
A Test-Vector Generation Methodology for Crosstalk Noise Faults. |
DFT |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Abby A. Ilumoka |
Chip Level Signal Integrity Analysis & Crosstalk Prediction Using Artificial Neural Nets. |
ISQED |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Rahul Kundu, R. D. (Shawn) Blanton |
Timed Test Generation Crosstalk Switch Failures in Domino CMOS Circuits. |
VTS |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Martin Kuhlmann, Sachin S. Sapatnekar |
Exact and efficient crosstalk estimation. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Frank K. Hwang, Wen-Dar Lin |
A General Construction for Nonblocking Crosstalk-Free Photonic Switching Networks. |
ICPP Workshops |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Qingjian Yu, Ernest S. Kuh |
New Efficient and Accurate Moment Matching Based Model for Crosstalk Estimation in Coupled RC Trees. |
ISQED |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Pirouz Bazargan-Sabet, Fabrice Ilponse |
A Model for Crosstalk Noise Evaluation in Deep Submicron Processes. |
ISQED |
2001 |
DBLP DOI BibTeX RDF |
|
21 | J. Briaire, K. S. Krisch |
Principles of substrate crosstalk generation in CMOS circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Andreas Herrmann, Erich Barke, Mathias Silvant, Jürgen Schlöffel |
PARCOURS - Substrate Crosstalk Analysis for Complex Mixed-Signal-Circuits. |
PATMOS |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Matthias Ringe, Thomas Lindenkreuz, Erich Barke |
Static Timing Analysis Taking Crosstalk into Account. |
DATE |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Chauchin Su, Yue-Tsang Chen |
Crosstalk Effect Removal for Analog Measurement in Analog Test Bus. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
Analog Test Bus, Design for Testability, Analog Test, Mixed Signal Test |
21 | Michele Favalli, Cecilia Metra |
Bus crosstalk fault-detection capabilities of error-detecting codes for on-line testing. |
IEEE Trans. Very Large Scale Integr. Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
21 | Pinhong Chen, Kurt Keutzer |
Towards true crosstalk noise analysis. |
ICCAD |
1999 |
DBLP DOI BibTeX RDF |
|
21 | Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi |
Efficient Crosstalk Estimation. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
|
21 | Antonio Rubio 0001, Noriyoshi Itazaki, Xiaole Xu, Kozo Kinoshita |
An approach to the analysis and detection of crosstalk faults in digital VLSI circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Qiang Gao, Yin Shen, Yici Cai, Hailong Yao |
Analog circuit shielding routing algorithm based on net classification. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
analog routing, shielding routing, A* algorithm |
17 | Mohammad Reza Kakoee, Igor Loi, Luca Benini |
A new physical routing approach for robust bundled signaling on NoC links. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
NoC global link routing, bundled routing, delay matching, pin placement, robust signaling, wire length variability, bus routing |
17 | Edward R. Dougherty, Marcel Brun, Jeffrey M. Trent, Michael L. Bittner |
Conditioning-Based Modeling of Contextual Genomic Regulation. |
IEEE ACM Trans. Comput. Biol. Bioinform. |
2009 |
DBLP DOI BibTeX RDF |
Microarray, regulatory networks |
17 | Shunsuke Hayashi, Zhi-Quan Luo |
Spectrum Management for Interference-Limited Multiuser Communication Systems. |
IEEE Trans. Inf. Theory |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Andrei V. Sazonov, Chin Keong Ho, Jan W. M. Bergmans, Johan B. A. M. Arends, Paul A. M. Griep, Evgeny A. Verbitskiy, Pierre J. M. Cluitmans, Paul A. J. M. Boon |
An investigation of the phase locking index for measuring of interdependency of cortical source signals recorded in the EEG. |
Biol. Cybern. |
2009 |
DBLP DOI BibTeX RDF |
Cortical sources, Model, Correlation, EEG, Coupling, Interdependency, Contrast, Distribution function, Phase locking |
17 | Charbel J. Akl, Magdy A. Bayoumi |
Reducing Interconnect Delay Uncertainty via Hybrid Polarity Repeater Insertion. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Benny B. Nasution, Asad I. Khan |
A Hierarchical Graph Neuron Scheme for Real-Time Pattern Recognition. |
IEEE Trans. Neural Networks |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Paschalis Tsiaflakis, Marc Moonen |
Low-complexity dynamic spectrum management algorithms for digital subscriber lines. |
ICASSP |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Eitan Altman, Konstantin Avrachenkov, Andrey Garnaev |
Closed Form Solutions for Symmetric Water Filling Games. |
INFOCOM |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Yen-Liang Chen, Cheng-Zhou Zhan, An-Yeu Wu |
Cost-effective echo and NEXT canceller designs for 10GBASE-T ethernet system. |
ISCAS |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Srinivasa R. Sridhara, Naresh R. Shanbhag |
Coding for Reliable On-Chip Buses: A Class of Fundamental Bounds and Practical Codes. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Raphael Cendrillon, Jianwei Huang 0001, Mung Chiang, Marc Moonen |
Autonomous Spectrum Balancing for Digital Subscriber Lines. |
IEEE Trans. Signal Process. |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Amol V. Patil, Soumyo Mukherji, Uday B. Desai |
Optimal Objective Functional Selection for Image Reconstruction in Diffuse Optical Tomography. |
ICCTA |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Tudor Murgan, Petru Bogdan Bacinschi, Sujan Pandey, Alberto García Ortiz, Manfred Glesner |
On the Necessity of Combining Coding with Spacing and Shielding for Improving Performance and Power in Very Deep Sub-micron Interconnects. |
PATMOS |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Torsten W. Kuhlen, Ingo Assenmacher, Tobias Lentz |
A True Spatial Sound System for CAVE-Like Displays Using Four Loudspeakers. |
HCI (14) |
2007 |
DBLP DOI BibTeX RDF |
Spatial Acoustics, Binaural Synthesis, Virtual Reality, 3D Audio |
17 | Eitan Altman, Konstantin Avrachenkov, Andrey Garnaev |
Closed form solutions for water-filling problems in optimization and game frameworks. |
VALUETOOLS |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Ajay K. Katangur, Somasheker Akkaladevi |
Message Routing and Scheduling in Optical Multistage Networks using Bayesian Inference method on AI algorithms. |
IPDPS |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Hanif Fatemi, Behnam Amelifard, Massoud Pedram |
Power optimal MTCMOS repeater insertion for global buses. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
MTCMOS circuits, low-power design, buffer insertion |
17 | Vineet Wason, Rajeev Murgai, William W. Walker |
An Efficient Uncertainty- and Skew-aware Methodology for Clock Tree Synthesis and Analysis. |
VLSI Design |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David T. Blaauw |
Statistical interconnect metrics for physical-design optimization. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Jungwon Lee, Seong Taek Chung, John M. Cioffi |
Optimal Discrete Bit Loading for DMT-Based DSL Systems With Equal-Length Loops. |
IEEE Trans. Commun. |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Akira Mochizuki, Takahiro Hanyu |
Highly reliable Multiple-Valued Circuit Based on Dual-Rail Differential Logic. |
ISMVL |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Usha Narasimha, Binu Abraham, N. S. Nagaraj |
Statistical Analysis of Capacitance Coupling Effects on Delay and Noise. |
ISQED |
2006 |
DBLP DOI BibTeX RDF |
|
17 | N. Venkateswaran 0002, S. Balaji, V. Sridhar |
Fault tolerant bus architecture for deep submicron based processors. |
SIGARCH Comput. Archit. News |
2005 |
DBLP DOI BibTeX RDF |
deep submicron technology, fault tolerance, interconnect, electromigration |
17 | Rajeev R. Rao, Harmander Deogun, David T. Blaauw, Dennis Sylvester |
Bus encoding for total power reduction using a leakage-aware buffer configuration. |
IEEE Trans. Very Large Scale Integr. Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Guoqing Chen, Eby G. Friedman |
An RLC interconnect model based on fourier analysis. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Eleftherios Karipidis, Nicholas D. Sidiropoulos, Amir Leshem, Youming Li |
Experimental evaluation of capacity statistics for short VDSL loops. |
IEEE Trans. Commun. |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Alvaro R. De Pierro, Fabiana Crepaldi |
Simultaneous activity attenuation reconstruction in positron emission tomography via maximum likelihood and iterative methods. |
ICIP (3) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Siu-Cheung Chau, Tiehong Xiao, Ada Wai-Chee Fu |
Routing and Scheduling for a Novel Optical Multistage Interconnection Network. |
Euro-Par |
2005 |
DBLP DOI BibTeX RDF |
|
17 | David K. Karig, Jerome Ku, Ron Weiss |
Engineering Multi-signal Systems for Complex Pattern Formation. |
CSB Workshops |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Haihua Su, David Widiger, Chandramouli V. Kashyap, Frank Liu 0001, Byron Krauter |
A noise-driven effective capacitance method with fast embedded noise rule calculation for functional noise analysis. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
glitch propagation, noise analysis, effective capacitance |