The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DAC"( http://dblp.L3S.de/Venues/DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dac

Publication years (Num. hits)
1964 (18) 1965 (20) 1966 (17) 1967 (25) 1968 (29) 1969 (31) 1970 (37) 1971 (40) 1972 (50) 1973 (37) 1974 (48) 1975 (56) 1976 (65) 1977 (78) 1978 (76) 1979 (93) 1980 (96) 1981 (136) 1982 (133) 1983 (136) 1984 (126) 1985 (138) 1986 (126) 1987 (129) 1988 (133) 1989 (158) 1990 (133) 1991 (148) 1992 (141) 1993 (142) 1994 (136) 1995 (123) 1996 (152) 1997 (141) 1998 (157) 1999 (182) 2000 (161) 2001 (161) 2002 (170) 2003 (190) 2004 (198) 2005 (192) 2006 (220) 2007 (202) 2008 (196) 2009 (194) 2010 (184) 2011 (188) 2012 (197) 2013 (186) 2014 (214) 2015 (204) 2016 (175) 2017 (178) 2018 (180) 2019 (241) 2020 (261) 2021 (246) 2022 (247) 2023 (323)
Publication types (Num. hits)
inproceedings(8334) proceedings(60)
Venues (Conferences, Journals, ...)
DAC(8394)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4757 occurrences of 1662 keywords

Results
Found 8394 publication records. Showing 8394 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Jing Mai, Yibai Meng, Zhixiong Di, Yibo Lin Multi-electrostatic FPGA placement considering SLICEL-SLICEM heterogeneity and clock feasibility. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Huize Li, Hai Jin 0001, Long Zheng 0003, Yu Huang 0013, Xiaofei Liao, Zhuohui Duan, Dan Chen, Chuangyi Gui ReSMA: accelerating approximate string matching using ReRAM-based content addressable memory. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lei Jiang 0001, Qian Lou, Nrushad Joshi MATCHA: a fast and energy-efficient accelerator for fully homomorphic encryption over the torus. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nezam Rohbani, Mohammad Arman Soleimani, Hamid Sarbazi-Azad PIPF-DRAM: processing in precharge-free DRAM. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chang Meng, Xuan Wang, Jiajun Sun, Sijun Tao, Wei Wu, Zhihang Wu, Leibin Ni, Xiaolong Shen, Junfeng Zhao 0003, Weikang Qian SEALS: sensitivity-driven efficient approximate logic synthesis. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abdullah Al Arafat, Sudharsan Vaidhun, Kurt M. Wilson, Jinghao Sun, Zhishan Guo Response time analysis for dynamic priority scheduling in ROS2. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hongxiang Fan, Martin Ferianc, Wayne Luk Enabling fast uncertainty estimation: accelerating bayesian transformers via algorithmic and hardware optimizations. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jongho Park, HyukJun Kwon, Seowoo Kim, Junyoung Lee, Minho Ha, Euicheol Lim, Mohsen Imani, Yeseong Kim QuiltNet: efficient deep learning inference on multi-chip accelerators using model partitioning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Peinan Li, Rui Hou 0001, Lutan Zhao, Yifan Zhu, Dan Meng Conditional address propagation: an efficient defense mechanism against transient execution attacks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sudipta Mondal, Susmita Dey Manasi, Kishor Kunal, Ramprasath S 0001, Sachin S. Sapatnekar GNNIE: GNN inference engine with load-balancing and graph-specific caching. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xu He, Zhiyong Fu, Yao Wang 0002, Chang Liu 0019, Yang Guo 0003 Accurate timing prediction at placement stage with look-ahead RC network. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jinyi Deng, Linyun Zhang, Lei Wang, Jiawei Liu, Kexiang Deng, Shibin Tang, Jiangyuan Gu, Boxiao Han, Fei Xu, Leibo Liu, Shaojun Wei, Shouyi Yin Mixed-granularity parallel coarse-grained reconfigurable architecture. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pascal Pieper, Vladimir Herdt, Daniel Große, Rolf Drechsler Verifying SystemC TLM peripherals using modern C++ symbolic execution tools. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chen Chen, Rahul Kande, Pouya Mahmoody, Ahmad-Reza Sadeghi, J. V. Rajendran 0001 Trusting the trust anchor: towards detecting cross-layer vulnerabilities with hardware fuzzing. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiahao Cai, Mohsen Imani, Kai Ni 0004, Grace Li Zhang, Bing Li 0005, Ulf Schlichtmann, Cheng Zhuo, Xunzhao Yin Energy efficient data search design and optimization based on a compact ferroelectric FET content addressable memory. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shijin Duan, Shaolei Ren, Xiaolin Xu HDLock: exploiting privileged encoding to protect hyperdimensional computing models against IP stealing. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shiva Shankar Thiagarajan, Suriyaprakash Natarajan, Yiorgos Makris A defect tolerance framework for improving yield. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhuoran Song, Zhongkai Yu, Naifeng Jing, Xiaoyao Liang E2SR: an end-to-end video CODEC assisted system for super resolution acceleration. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhong-Li Tang, Chia-Wei Liang, Ming-Hsien Hsiao, Charles H.-P. Wen SEM-latch: a lost-cost and high-performance latch design for mitigating soft errors in nanoscale CMOS process. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Adam Caulfield, Norrathep Rattanavipanon, Ivan De Oliveira Nunes ASAP: reconciling asynchronous real-time operations and proofs of execution in simple embedded systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zheyu Yan, Xiaobo Sharon Hu, Yiyu Shi 0001 SWIM: selective write-verify for computing-in-memory neural accelerators. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Quentin Huppert, Francky Catthoor, Lionel Torres, David Novo Pref-X: a framework to reveal data prefetching in commercial in-order cores. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Qi Sun 0002, Xinyun Zhang, Hao Geng, Yuxuan Zhao, Yang Bai, Haisheng Zheng, Bei Yu 0001 GTuner: tuning DNN computations on GPU via graph attention network. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zizheng Guo, Yibo Lin Differentiable-timing-driven global placement. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiahao Liu, Zirui Zhong, Yong Zhou, Hui Qiu, Jianbiao Xiao, Jiajing Fan, Zhaomin Zhang, Sixu Li, Yiming Xu, Siqi Yang 0002, Weiwei Shan, Shuisheng Lin, Liang Chang 0002, Jun Zhou 0017 An energy-efficient seizure detection processor using event-driven multi-stage CNN classification and segmented data processing with adaptive channel selection. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhuanhao Wu, Hiren D. Patel Predictable sharing of last-level cache partitions for multi-core safety-critical systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lei Zhao, Youtao Zhang, Jun Yang 0002 SRA: a secure ReRAM-based DNN accelerator. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nameun Kang, Hyungjun Kim, Hyunmyung Oh, Jae-Joon Kim TAIM: ternary activation in-memory computing hardware with 6T SRAM array. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yanqing Zhang 0002, Haoxing Ren, Akshay Sridharan, Brucek Khailany GATSPI: GPU accelerated gate-level simulation for power improvement. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Guohao Dai, Guyue Huang, Shang Yang, Zhongming Yu, Hengrui Zhang, Yufei Ding, Yuan Xie 0001, Huazhong Yang, Yu Wang 0002 Heuristic adaptability to input dynamics for SpMM on CPUs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Linghao Song, Yuze Chi, Licheng Guo, Jason Cong Serpens: a high bandwidth memory based accelerator for general-purpose sparse matrix-vector multiplication. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Haiyang Lin, Mingyu Yan, Duo Wang, Mo Zou, Fengbin Tu, Xiaochun Ye, Dongrui Fan, Yuan Xie 0001 Alleviating datapath conflicts and design centralization in graph analytics acceleration. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xinghua Xue, Haitong Huang, Cheng Liu 0008, Tao Luo 0014, Lei Zhang 0008, Ying Wang 0001 Winograd convolution: a perspective from fault tolerance. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zizheng Guo, Mingjie Liu, Jiaqi Gu, Shuhan Zhang, David Z. Pan, Yibo Lin A timing engine inspired graph neural network model for pre-routing slack prediction. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ziran Zhu, Yangjie Mei, Zijun Li 0005, Jingwen Lin, Jianli Chen, Jun Yang, Yao-Wen Chang High-performance placement for large-scale heterogeneous FPGAs with clock constraints. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Prathyush Poduval, Yang Ni, Yeseong Kim, Kai Ni 0004, Raghavan Kumar, Rosario Cammarota, Mohsen Imani Adaptive neural recovery for highly robust brain-like representation. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shuyuan Yu, Sheldon X.-D. Tan Scaled-CBSC: scaled counting-based stochastic computing multiplication for improved accuracy. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Brian Crafton, Zishen Wan, Samuel Spetalnick, Jong-Hyeok Yoon, Wei Wu, Carlos Tokunaga, Vivek De, Arijit Raychowdhury Improving compute in-memory ECC reliability with successive correction. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lixin Liu, Bangqi Fu, Martin D. F. Wong, Evangeline F. Y. Young Xplace: an extremely fast and extensible global placement framework. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wei-Hsiang Tseng, Yao-Wen Chang A bridge-based algorithm for simultaneous primal and dual defects compression on topologically quantum-error-corrected circuits. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shubham Negi, Indranil Chakraborty, Aayush Ankit, Kaushik Roy 0001 NAX: neural architecture and memristive xbar based accelerator co-design. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuquan He, Songyun Qu, Gangliang Lin, Cheng Liu 0008, Lei Zhang 0008, Ying Wang 0001 Processing-in-SRAM acceleration for ultra-low power visual 3D perception. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pierpaolo Morì, Manoj Rohit Vemparala, Nael Fasfous, Saptarshi Mitra, Sreetama Sarkar, Alexander Frickenstein, Lukas Frickenstein, Domenik Helms, Naveen Shankar Nagaraja, Walter Stechele, Claudio Passerone Accelerating and pruning CNNs for semantic segmentation on FPGA. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abhiroop Bhattacharjee, Yeshwanth Venkatesha, Abhishek Moitra, Priyadarshini Panda MIME: adapting a single neural network for multi-task inference with memory-efficient dynamic pruning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sunghye Park, Daeyeon Kim, Minhyuk Kweon, Jae-Yoon Sim, Seokhyeong Kang A fast and scalable qubit-mapping method for noisy intermediate-scale quantum computers. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shuo Yin, Xiang Jin, Linxu Shi, Kang Wang, Wei W. Xing Efficient bayesian yield analysis and optimization with active learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yinyi Liu, Jiaqi Liu, Yuxiang Fu, Shixi Chen, Jiaxu Zhang, Jiang Xu 0001 PHANES: ReRAM-based photonic accelerator for deep neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rishikanth Chandrasekaran, Kazim Ergun, Jihyun Lee, Dhanush Nanjunda, Jaeyoung Kang 0001, Tajana Rosing FHDnn: communication efficient and robust federated learning for AIoT networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gaurav Kolhe, Tyler Sheaves, Kevin Immanuel Gubbi, Tejas Kadale, Setareh Rafatirad, Sai Manoj P. D., Avesta Sasan, Hamid Mahmoodi, Houman Homayoun Silicon validation of LUT-based logic-locked IP cores. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Byung Hoon Ahn, Sean Kinzer, Hadi Esmaeilzadeh Glimpse: mathematical embedding of hardware specification for neural compilation. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rachmad Vidya Wicaksana Putra, Muhammad Abdullah Hanif, Muhammad Shafique 0001 SoftSNN: low-cost fault tolerance for spiking neural network accelerators under soft errors. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Siang-Yun Lee, Heinz Riener, Giovanni De Micheli Beyond local optimality of buffer and splitter insertion for AQFP circuits. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xin Xin 0008, Wanyi Zhu, Li Zhao Architecting DDR5 DRAM caches for non-volatile memory systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pengwen Chen, Chung-Kuan Cheng, Albert Chern, Chester Holtz, Aoxi Li, Yucheng Wang Placement initialization via a projected eigenvector algorithm: late breaking results. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chiara Muscari Tomajoli, Luca Collini, Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan 0001, Xifan Tang, Pierre-Emmanuel Gaillardon, Ramesh Karri, Christian Pilato ALICE: an automatic design flow for eFPGA redaction. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yixuan Wang 0001, Chao Huang 0015, Zhaoran Wang 0001, Zhilu Wang, Qi Zhu 0002 Design-while-verify: correct-by-construction control learning with verification in the loop. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hanchen Ye, HyeGang Jun, Hyunmin Jeong, Stephen Neuendorffer, Deming Chen ScaleHLS: a scalable high-level synthesis framework with multi-level transformations and optimizations: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Weiqing Ji, Xingzhuo Guo, Shouan Pan, Tsung-Yi Ho, Ulf Schlichtmann, Hailong Yao GNN-based concentration prediction for random microfluidic mixers. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhou Jin 0001, Haojie Pei, Yichao Dong, Xiang Jin, Xiao Wu, Wei W. Xing, Dan Niu Accelerating nonlinear DC circuit simulation with reinforcement learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yang Ni, Mariam Issa, Danny Abraham, Mahdi Imani, Xunzhao Yin, Mohsen Imani HDPG: hyperdimensional policy-based reinforcement learning for continuous control. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Huimin Li 0004, Nele Mentens, Stjepan Picek A scalable SIMD RISC-V based processor with customized vector extensions for CRYSTALS-kyber. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ziyi Wang, Chen Bai, Zhuolun He, Guangliang Zhang, Qiang Xu 0001, Tsung-Yi Ho, Bei Yu 0001, Yu Huang Functionality matters in netlist representation learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Ali Zakeri, Hanning Chen, TaeHyun Kim, Prathyush Poduval, Hyunsei Lee, Yeseong Kim, Elaheh Sadredini, Farhad Imani Neural computation for robust and holographic face detection. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhiqiang Liu, Wenjian Yu Pursuing more effective graph spectral sparsifiers via approximate trace reduction. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Sazadur Rahman, Rui Guo, Hadi Mardani Kamali, Fahim Rahman, Farimah Farahmandi, Mohamed Abdel-Moneum, Mark M. Tehranipoor O'clock: lock the clock via clock-gating for SoC IP protection. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ming Zhang, Yu Hua 0001, Xuan Li, Hao Xu Scalable crash consistency for secure persistent memory. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Weidong Cao, Mouhacine Benosman, Xuan Zhang, Rui Ma Domain knowledge-infused deep learning for automated analog/radio-frequency circuit parameter optimization. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dongwoo Lew, Kyungchul Lee, Jongsun Park 0001 A time-to-first-spike coding and conversion aware training for energy-efficient deep spiking neural network processor design. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lucas Deutschmann, Johannes Müller, Mohammad Rahmani Fadiheh, Dominik Stoffel, Wolfgang Kunz Towards a formally verified hardware root-of-trust for data-oblivious computing. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yunseong Kim, Yujeong Choi, Minsoo Rhu PARIS and ELSA: an elastic scheduling algorithm for reconfigurable multi-GPU inference servers. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cheng-Hsiang Chiu, Tsung-Wei Huang Efficient timing propagation with simultaneous structural and pipeline parallelisms: late breaking results. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Atefeh Sohrabizadeh, Yunsheng Bai, Yizhou Sun, Jason Cong Automated accelerator optimization aided by graph neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vasudev Gohil, Satwik Patnaik, Hao Guo, Dileep Kalathil, Jeyavijayan (JV) Rajendran DETERRENT: detecting trojans using reinforcement learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Haocheng Ma, Qizhi Zhang, Ya Gao, Jiaji He, Yiqiang Zhao, Yier Jin PathFinder: side channel protection through automatic leaky paths identification and obfuscation. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Harrison Liew, Daniel Grubb, John Wright, Colin Schmidt 0001, Nayiri Krzysztofowicz, Adam M. Izraelevitz, Edward Wang, Krste Asanovic, Jonathan Bachrach, Borivoje Nikolic Hammer: a modular and reusable physical design flow tool: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Keyi Zhang, Zain Asgar, Mark Horowitz Bringing source-level debugging frameworks to hardware generators. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shuhan Bai, Hu Wan 0001, Yun Huang 0005, Xuan Sun 0003, Fei Wu 0005, Changsheng Xie, Hung-Chih Hsieh, Tei-Wei Kuo, Chun Jason Xue Pipette: efficient fine-grained reads for SSDs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yinxiao Feng, Kaisheng Ma Chiplet actuary: a quantitative cost model and multi-chiplet architecture exploration. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiaoming Zeng, Zhendong Wang, Yang Hu 0001 Enabling efficient deep convolutional neural network-based sensor fusion for autonomous driving. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hiago Mayk G. de A. Rocha, Janaina Schwarzrock, Arthur Francisco Lorenzon, Antonio Carlos Schneider Beck Using machine learning to optimize graph execution on NUMA machines. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jianqiang Wang, Pouya Mahmoody, Ferdinand Brasser, Patrick Jauernig, Ahmad-Reza Sadeghi, Donghui Yu, Dahan Pan, Yuanyuan Zhang 0002 VirTEE: a full backward-compatible TEE with native live migration and secure I/O. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Naoki Hattori, Yutaka Masuda, Tohru Ishihara, Akihiko Shinya, Masaya Notomi Power-aware pruning for ultrafast, energy-efficient, and accurate optical neural network design. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Huanrui Yang, Xiaoxuan Yang, Neil Zhenqiang Gong, Yiran Chen 0001 HERO: hessian-enhanced robust optimization for unifying and improving generalization and quantization performance. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Christian Pilato, Donatella Sciuto, Benjamin Tan 0001, Siddharth Garg, Ramesh Karri High-level design methods for hardware security: is it the right choice? invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sung-Ming Wu, Li-Pin Chang Rethinking key-value store for byte-addressable optane persistent memory. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jinwei Liu, Xiaopeng Zhang 0009, Shiju Lin, Xinshi Zang, Jingsong Chen, Bentian Jiang, Martin D. F. Wong, Evangeline F. Y. Young Partition and place finite element model on wafer-scale engine. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mathias Soeken, Mariia Mykhailova Automatic oracle generation in microsoft's quantum development kit using QIR and LLVM passes. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ryan Kastner, Francesco Restuccia 0002, Andres Meza 0001, Sayak Ray, Jason M. Fung, Cynthia Sturton Automating hardware security property generation: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiangjun Peng, Ming-Chang Yang, Ho Ming Tsui, Chi Ngai Leung, Wang Kang SMART: on simultaneously marching racetracks to improve the performance of racetrack-based main memory. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiaodong Wang, Changhao Yan, Fan Yang 0001, Dian Zhou, Xuan Zeng 0001 An efficient yield optimization method for analog circuits via gaussian process classification and varying-sigma sampling. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pei Cao, Hongyi Zhang, Dawu Gu, Yan Lu, Yidong Yuan AL-PA: cross-device profiled side-channel attack using adversarial learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chun-Yu Wei, Yuan-Hung Tsai, Chiao-Shan Jhang, Jie-Hong R. Jiang Accurate BDD-based unitary operator manipulation for scalable and robust quantum circuit verification. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Suyong Lee, Insu Choi, Joon-Sung Yang Bipolar vector classifier for fault-tolerant deep neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fangxin Liu, Wenbo Zhao 0005, Zongwu Wang, Yongbiao Chen, Tao Yang, Zhezhi He, Xiaokang Yang, Li Jiang 0002 SATO: spiking neural network acceleration via temporal-oriented dataflow and architecture. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yujun Huang, Bin Chen 0011, Jianghui Zhang, Han Qiu 0001, Shu-Tao Xia Compressive sensing based asymmetric semantic image compression for resource-constrained IoT system. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mike Heddes, Igor Nunes, Tony Givargis, Alexandru Nicolau, Alexander V. Veidenbaum Hyperdimensional hashing: a robust and efficient dynamic hash table. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiajie Chen, Le Yang, Youhui Zhang GaBAN: a generic and flexibly programmable vector neuro-processor on FPGA. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hao Geng, Qi Xu, Tsung-Yi Ho, Bei Yu 0001 PPATuner: pareto-driven tool parameter auto-tuning in physical design via gaussian process transfer learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhuo Su 0005, Zehong Yu, Dongyan Wang, Yixiao Yang, Yu Jiang 0001, Rui Wang 0024, Wanli Chang 0001, Jia-Guang Sun 0001 HCG: optimizing embedded code generation of simulink with SIMD instruction synthesis. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiaqi Gu, Hanqing Zhu, Chenghao Feng, Zixuan Jiang, Mingjie Liu, Shuhan Zhang, Ray T. Chen, David Z. Pan ADEPT: automatic differentiable DEsign of photonic tensor cores. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 8394 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license