|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4757 occurrences of 1662 keywords
|
|
|
Results
Found 8394 publication records. Showing 8394 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Jing Mai, Yibai Meng, Zhixiong Di, Yibo Lin |
Multi-electrostatic FPGA placement considering SLICEL-SLICEM heterogeneity and clock feasibility. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Huize Li, Hai Jin 0001, Long Zheng 0003, Yu Huang 0013, Xiaofei Liao, Zhuohui Duan, Dan Chen, Chuangyi Gui |
ReSMA: accelerating approximate string matching using ReRAM-based content addressable memory. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Lei Jiang 0001, Qian Lou, Nrushad Joshi |
MATCHA: a fast and energy-efficient accelerator for fully homomorphic encryption over the torus. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Nezam Rohbani, Mohammad Arman Soleimani, Hamid Sarbazi-Azad |
PIPF-DRAM: processing in precharge-free DRAM. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Chang Meng, Xuan Wang, Jiajun Sun, Sijun Tao, Wei Wu, Zhihang Wu, Leibin Ni, Xiaolong Shen, Junfeng Zhao 0003, Weikang Qian |
SEALS: sensitivity-driven efficient approximate logic synthesis. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Abdullah Al Arafat, Sudharsan Vaidhun, Kurt M. Wilson, Jinghao Sun, Zhishan Guo |
Response time analysis for dynamic priority scheduling in ROS2. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Hongxiang Fan, Martin Ferianc, Wayne Luk |
Enabling fast uncertainty estimation: accelerating bayesian transformers via algorithmic and hardware optimizations. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jongho Park, HyukJun Kwon, Seowoo Kim, Junyoung Lee, Minho Ha, Euicheol Lim, Mohsen Imani, Yeseong Kim |
QuiltNet: efficient deep learning inference on multi-chip accelerators using model partitioning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Peinan Li, Rui Hou 0001, Lutan Zhao, Yifan Zhu, Dan Meng |
Conditional address propagation: an efficient defense mechanism against transient execution attacks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Sudipta Mondal, Susmita Dey Manasi, Kishor Kunal, Ramprasath S 0001, Sachin S. Sapatnekar |
GNNIE: GNN inference engine with load-balancing and graph-specific caching. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Xu He, Zhiyong Fu, Yao Wang 0002, Chang Liu 0019, Yang Guo 0003 |
Accurate timing prediction at placement stage with look-ahead RC network. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jinyi Deng, Linyun Zhang, Lei Wang, Jiawei Liu, Kexiang Deng, Shibin Tang, Jiangyuan Gu, Boxiao Han, Fei Xu, Leibo Liu, Shaojun Wei, Shouyi Yin |
Mixed-granularity parallel coarse-grained reconfigurable architecture. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Pascal Pieper, Vladimir Herdt, Daniel Große, Rolf Drechsler |
Verifying SystemC TLM peripherals using modern C++ symbolic execution tools. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Chen Chen, Rahul Kande, Pouya Mahmoody, Ahmad-Reza Sadeghi, J. V. Rajendran 0001 |
Trusting the trust anchor: towards detecting cross-layer vulnerabilities with hardware fuzzing. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jiahao Cai, Mohsen Imani, Kai Ni 0004, Grace Li Zhang, Bing Li 0005, Ulf Schlichtmann, Cheng Zhuo, Xunzhao Yin |
Energy efficient data search design and optimization based on a compact ferroelectric FET content addressable memory. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Shijin Duan, Shaolei Ren, Xiaolin Xu |
HDLock: exploiting privileged encoding to protect hyperdimensional computing models against IP stealing. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Shiva Shankar Thiagarajan, Suriyaprakash Natarajan, Yiorgos Makris |
A defect tolerance framework for improving yield. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zhuoran Song, Zhongkai Yu, Naifeng Jing, Xiaoyao Liang |
E2SR: an end-to-end video CODEC assisted system for super resolution acceleration. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zhong-Li Tang, Chia-Wei Liang, Ming-Hsien Hsiao, Charles H.-P. Wen |
SEM-latch: a lost-cost and high-performance latch design for mitigating soft errors in nanoscale CMOS process. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Adam Caulfield, Norrathep Rattanavipanon, Ivan De Oliveira Nunes |
ASAP: reconciling asynchronous real-time operations and proofs of execution in simple embedded systems. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zheyu Yan, Xiaobo Sharon Hu, Yiyu Shi 0001 |
SWIM: selective write-verify for computing-in-memory neural accelerators. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Quentin Huppert, Francky Catthoor, Lionel Torres, David Novo |
Pref-X: a framework to reveal data prefetching in commercial in-order cores. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Qi Sun 0002, Xinyun Zhang, Hao Geng, Yuxuan Zhao, Yang Bai, Haisheng Zheng, Bei Yu 0001 |
GTuner: tuning DNN computations on GPU via graph attention network. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zizheng Guo, Yibo Lin |
Differentiable-timing-driven global placement. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jiahao Liu, Zirui Zhong, Yong Zhou, Hui Qiu, Jianbiao Xiao, Jiajing Fan, Zhaomin Zhang, Sixu Li, Yiming Xu, Siqi Yang 0002, Weiwei Shan, Shuisheng Lin, Liang Chang 0002, Jun Zhou 0017 |
An energy-efficient seizure detection processor using event-driven multi-stage CNN classification and segmented data processing with adaptive channel selection. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zhuanhao Wu, Hiren D. Patel |
Predictable sharing of last-level cache partitions for multi-core safety-critical systems. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Lei Zhao, Youtao Zhang, Jun Yang 0002 |
SRA: a secure ReRAM-based DNN accelerator. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Nameun Kang, Hyungjun Kim, Hyunmyung Oh, Jae-Joon Kim |
TAIM: ternary activation in-memory computing hardware with 6T SRAM array. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yanqing Zhang 0002, Haoxing Ren, Akshay Sridharan, Brucek Khailany |
GATSPI: GPU accelerated gate-level simulation for power improvement. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Guohao Dai, Guyue Huang, Shang Yang, Zhongming Yu, Hengrui Zhang, Yufei Ding, Yuan Xie 0001, Huazhong Yang, Yu Wang 0002 |
Heuristic adaptability to input dynamics for SpMM on CPUs. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Linghao Song, Yuze Chi, Licheng Guo, Jason Cong |
Serpens: a high bandwidth memory based accelerator for general-purpose sparse matrix-vector multiplication. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Haiyang Lin, Mingyu Yan, Duo Wang, Mo Zou, Fengbin Tu, Xiaochun Ye, Dongrui Fan, Yuan Xie 0001 |
Alleviating datapath conflicts and design centralization in graph analytics acceleration. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Xinghua Xue, Haitong Huang, Cheng Liu 0008, Tao Luo 0014, Lei Zhang 0008, Ying Wang 0001 |
Winograd convolution: a perspective from fault tolerance. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zizheng Guo, Mingjie Liu, Jiaqi Gu, Shuhan Zhang, David Z. Pan, Yibo Lin |
A timing engine inspired graph neural network model for pre-routing slack prediction. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Ziran Zhu, Yangjie Mei, Zijun Li 0005, Jingwen Lin, Jianli Chen, Jun Yang, Yao-Wen Chang |
High-performance placement for large-scale heterogeneous FPGAs with clock constraints. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Prathyush Poduval, Yang Ni, Yeseong Kim, Kai Ni 0004, Raghavan Kumar, Rosario Cammarota, Mohsen Imani |
Adaptive neural recovery for highly robust brain-like representation. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Shuyuan Yu, Sheldon X.-D. Tan |
Scaled-CBSC: scaled counting-based stochastic computing multiplication for improved accuracy. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Brian Crafton, Zishen Wan, Samuel Spetalnick, Jong-Hyeok Yoon, Wei Wu, Carlos Tokunaga, Vivek De, Arijit Raychowdhury |
Improving compute in-memory ECC reliability with successive correction. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Lixin Liu, Bangqi Fu, Martin D. F. Wong, Evangeline F. Y. Young |
Xplace: an extremely fast and extensible global placement framework. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Wei-Hsiang Tseng, Yao-Wen Chang |
A bridge-based algorithm for simultaneous primal and dual defects compression on topologically quantum-error-corrected circuits. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Shubham Negi, Indranil Chakraborty, Aayush Ankit, Kaushik Roy 0001 |
NAX: neural architecture and memristive xbar based accelerator co-design. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yuquan He, Songyun Qu, Gangliang Lin, Cheng Liu 0008, Lei Zhang 0008, Ying Wang 0001 |
Processing-in-SRAM acceleration for ultra-low power visual 3D perception. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Pierpaolo Morì, Manoj Rohit Vemparala, Nael Fasfous, Saptarshi Mitra, Sreetama Sarkar, Alexander Frickenstein, Lukas Frickenstein, Domenik Helms, Naveen Shankar Nagaraja, Walter Stechele, Claudio Passerone |
Accelerating and pruning CNNs for semantic segmentation on FPGA. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Abhiroop Bhattacharjee, Yeshwanth Venkatesha, Abhishek Moitra, Priyadarshini Panda |
MIME: adapting a single neural network for multi-task inference with memory-efficient dynamic pruning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Sunghye Park, Daeyeon Kim, Minhyuk Kweon, Jae-Yoon Sim, Seokhyeong Kang |
A fast and scalable qubit-mapping method for noisy intermediate-scale quantum computers. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Shuo Yin, Xiang Jin, Linxu Shi, Kang Wang, Wei W. Xing |
Efficient bayesian yield analysis and optimization with active learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yinyi Liu, Jiaqi Liu, Yuxiang Fu, Shixi Chen, Jiaxu Zhang, Jiang Xu 0001 |
PHANES: ReRAM-based photonic accelerator for deep neural networks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Rishikanth Chandrasekaran, Kazim Ergun, Jihyun Lee, Dhanush Nanjunda, Jaeyoung Kang 0001, Tajana Rosing |
FHDnn: communication efficient and robust federated learning for AIoT networks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Gaurav Kolhe, Tyler Sheaves, Kevin Immanuel Gubbi, Tejas Kadale, Setareh Rafatirad, Sai Manoj P. D., Avesta Sasan, Hamid Mahmoodi, Houman Homayoun |
Silicon validation of LUT-based logic-locked IP cores. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Byung Hoon Ahn, Sean Kinzer, Hadi Esmaeilzadeh |
Glimpse: mathematical embedding of hardware specification for neural compilation. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Rachmad Vidya Wicaksana Putra, Muhammad Abdullah Hanif, Muhammad Shafique 0001 |
SoftSNN: low-cost fault tolerance for spiking neural network accelerators under soft errors. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Siang-Yun Lee, Heinz Riener, Giovanni De Micheli |
Beyond local optimality of buffer and splitter insertion for AQFP circuits. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Xin Xin 0008, Wanyi Zhu, Li Zhao |
Architecting DDR5 DRAM caches for non-volatile memory systems. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Pengwen Chen, Chung-Kuan Cheng, Albert Chern, Chester Holtz, Aoxi Li, Yucheng Wang |
Placement initialization via a projected eigenvector algorithm: late breaking results. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Chiara Muscari Tomajoli, Luca Collini, Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan 0001, Xifan Tang, Pierre-Emmanuel Gaillardon, Ramesh Karri, Christian Pilato |
ALICE: an automatic design flow for eFPGA redaction. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yixuan Wang 0001, Chao Huang 0015, Zhaoran Wang 0001, Zhilu Wang, Qi Zhu 0002 |
Design-while-verify: correct-by-construction control learning with verification in the loop. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Hanchen Ye, HyeGang Jun, Hyunmin Jeong, Stephen Neuendorffer, Deming Chen |
ScaleHLS: a scalable high-level synthesis framework with multi-level transformations and optimizations: invited. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Weiqing Ji, Xingzhuo Guo, Shouan Pan, Tsung-Yi Ho, Ulf Schlichtmann, Hailong Yao |
GNN-based concentration prediction for random microfluidic mixers. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zhou Jin 0001, Haojie Pei, Yichao Dong, Xiang Jin, Xiao Wu, Wei W. Xing, Dan Niu |
Accelerating nonlinear DC circuit simulation with reinforcement learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yang Ni, Mariam Issa, Danny Abraham, Mahdi Imani, Xunzhao Yin, Mohsen Imani |
HDPG: hyperdimensional policy-based reinforcement learning for continuous control. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Huimin Li 0004, Nele Mentens, Stjepan Picek |
A scalable SIMD RISC-V based processor with customized vector extensions for CRYSTALS-kyber. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Ziyi Wang, Chen Bai, Zhuolun He, Guangliang Zhang, Qiang Xu 0001, Tsung-Yi Ho, Bei Yu 0001, Yu Huang |
Functionality matters in netlist representation learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Mohsen Imani, Ali Zakeri, Hanning Chen, TaeHyun Kim, Prathyush Poduval, Hyunsei Lee, Yeseong Kim, Elaheh Sadredini, Farhad Imani |
Neural computation for robust and holographic face detection. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zhiqiang Liu, Wenjian Yu |
Pursuing more effective graph spectral sparsifiers via approximate trace reduction. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | M. Sazadur Rahman, Rui Guo, Hadi Mardani Kamali, Fahim Rahman, Farimah Farahmandi, Mohamed Abdel-Moneum, Mark M. Tehranipoor |
O'clock: lock the clock via clock-gating for SoC IP protection. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Ming Zhang, Yu Hua 0001, Xuan Li, Hao Xu |
Scalable crash consistency for secure persistent memory. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Weidong Cao, Mouhacine Benosman, Xuan Zhang, Rui Ma |
Domain knowledge-infused deep learning for automated analog/radio-frequency circuit parameter optimization. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Dongwoo Lew, Kyungchul Lee, Jongsun Park 0001 |
A time-to-first-spike coding and conversion aware training for energy-efficient deep spiking neural network processor design. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Lucas Deutschmann, Johannes Müller, Mohammad Rahmani Fadiheh, Dominik Stoffel, Wolfgang Kunz |
Towards a formally verified hardware root-of-trust for data-oblivious computing. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yunseong Kim, Yujeong Choi, Minsoo Rhu |
PARIS and ELSA: an elastic scheduling algorithm for reconfigurable multi-GPU inference servers. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Cheng-Hsiang Chiu, Tsung-Wei Huang |
Efficient timing propagation with simultaneous structural and pipeline parallelisms: late breaking results. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Atefeh Sohrabizadeh, Yunsheng Bai, Yizhou Sun, Jason Cong |
Automated accelerator optimization aided by graph neural networks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Vasudev Gohil, Satwik Patnaik, Hao Guo, Dileep Kalathil, Jeyavijayan (JV) Rajendran |
DETERRENT: detecting trojans using reinforcement learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Haocheng Ma, Qizhi Zhang, Ya Gao, Jiaji He, Yiqiang Zhao, Yier Jin |
PathFinder: side channel protection through automatic leaky paths identification and obfuscation. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Harrison Liew, Daniel Grubb, John Wright, Colin Schmidt 0001, Nayiri Krzysztofowicz, Adam M. Izraelevitz, Edward Wang, Krste Asanovic, Jonathan Bachrach, Borivoje Nikolic |
Hammer: a modular and reusable physical design flow tool: invited. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Keyi Zhang, Zain Asgar, Mark Horowitz |
Bringing source-level debugging frameworks to hardware generators. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Shuhan Bai, Hu Wan 0001, Yun Huang 0005, Xuan Sun 0003, Fei Wu 0005, Changsheng Xie, Hung-Chih Hsieh, Tei-Wei Kuo, Chun Jason Xue |
Pipette: efficient fine-grained reads for SSDs. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yinxiao Feng, Kaisheng Ma |
Chiplet actuary: a quantitative cost model and multi-chiplet architecture exploration. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Xiaoming Zeng, Zhendong Wang, Yang Hu 0001 |
Enabling efficient deep convolutional neural network-based sensor fusion for autonomous driving. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Hiago Mayk G. de A. Rocha, Janaina Schwarzrock, Arthur Francisco Lorenzon, Antonio Carlos Schneider Beck |
Using machine learning to optimize graph execution on NUMA machines. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jianqiang Wang, Pouya Mahmoody, Ferdinand Brasser, Patrick Jauernig, Ahmad-Reza Sadeghi, Donghui Yu, Dahan Pan, Yuanyuan Zhang 0002 |
VirTEE: a full backward-compatible TEE with native live migration and secure I/O. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Naoki Hattori, Yutaka Masuda, Tohru Ishihara, Akihiko Shinya, Masaya Notomi |
Power-aware pruning for ultrafast, energy-efficient, and accurate optical neural network design. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Huanrui Yang, Xiaoxuan Yang, Neil Zhenqiang Gong, Yiran Chen 0001 |
HERO: hessian-enhanced robust optimization for unifying and improving generalization and quantization performance. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Christian Pilato, Donatella Sciuto, Benjamin Tan 0001, Siddharth Garg, Ramesh Karri |
High-level design methods for hardware security: is it the right choice? invited. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Sung-Ming Wu, Li-Pin Chang |
Rethinking key-value store for byte-addressable optane persistent memory. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jinwei Liu, Xiaopeng Zhang 0009, Shiju Lin, Xinshi Zang, Jingsong Chen, Bentian Jiang, Martin D. F. Wong, Evangeline F. Y. Young |
Partition and place finite element model on wafer-scale engine. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Mathias Soeken, Mariia Mykhailova |
Automatic oracle generation in microsoft's quantum development kit using QIR and LLVM passes. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Ryan Kastner, Francesco Restuccia 0002, Andres Meza 0001, Sayak Ray, Jason M. Fung, Cynthia Sturton |
Automating hardware security property generation: invited. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Xiangjun Peng, Ming-Chang Yang, Ho Ming Tsui, Chi Ngai Leung, Wang Kang |
SMART: on simultaneously marching racetracks to improve the performance of racetrack-based main memory. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Xiaodong Wang, Changhao Yan, Fan Yang 0001, Dian Zhou, Xuan Zeng 0001 |
An efficient yield optimization method for analog circuits via gaussian process classification and varying-sigma sampling. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Pei Cao, Hongyi Zhang, Dawu Gu, Yan Lu, Yidong Yuan |
AL-PA: cross-device profiled side-channel attack using adversarial learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Chun-Yu Wei, Yuan-Hung Tsai, Chiao-Shan Jhang, Jie-Hong R. Jiang |
Accurate BDD-based unitary operator manipulation for scalable and robust quantum circuit verification. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Suyong Lee, Insu Choi, Joon-Sung Yang |
Bipolar vector classifier for fault-tolerant deep neural networks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Fangxin Liu, Wenbo Zhao 0005, Zongwu Wang, Yongbiao Chen, Tao Yang, Zhezhi He, Xiaokang Yang, Li Jiang 0002 |
SATO: spiking neural network acceleration via temporal-oriented dataflow and architecture. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yujun Huang, Bin Chen 0011, Jianghui Zhang, Han Qiu 0001, Shu-Tao Xia |
Compressive sensing based asymmetric semantic image compression for resource-constrained IoT system. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Mike Heddes, Igor Nunes, Tony Givargis, Alexandru Nicolau, Alexander V. Veidenbaum |
Hyperdimensional hashing: a robust and efficient dynamic hash table. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jiajie Chen, Le Yang, Youhui Zhang |
GaBAN: a generic and flexibly programmable vector neuro-processor on FPGA. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Hao Geng, Qi Xu, Tsung-Yi Ho, Bei Yu 0001 |
PPATuner: pareto-driven tool parameter auto-tuning in physical design via gaussian process transfer learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zhuo Su 0005, Zehong Yu, Dongyan Wang, Yixiao Yang, Yu Jiang 0001, Rui Wang 0024, Wanli Chang 0001, Jia-Guang Sun 0001 |
HCG: optimizing embedded code generation of simulink with SIMD instruction synthesis. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jiaqi Gu, Hanqing Zhu, Chenghao Feng, Zixuan Jiang, Mingjie Liu, Shuhan Zhang, Ray T. Chen, David Z. Pan |
ADEPT: automatic differentiable DEsign of photonic tensor cores. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 8394 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|