The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DATE"( http://dblp.L3S.de/Venues/DATE )

URL (DBLP): http://dblp.uni-trier.de/db/conf/date

Publication years (Num. hits)
1998 (173) 1999 (145) 2000 (146) 2001 (158) 2002 (229) 2003 (269) 2004 (343) 2005 (298) 2006 (268) 2007 (293) 2008 (299) 2009 (318) 2010 (350) 2011 (322) 2012 (309) 2013 (362) 2014 (373) 2015 (322) 2016 (308) 2017 (338) 2018 (312) 2019 (330) 2020 (325) 2021 (359) 2022 (283) 2023 (325)
Publication types (Num. hits)
inproceedings(7531) proceedings(26)
Venues (Conferences, Journals, ...)
DATE(7557)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 559 occurrences of 375 keywords

Results
Found 7557 publication records. Showing 7557 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Niels Gleinig, Torsten Hoefler Circuits for Measurement Based Quantum State Preparation. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andrea Nota, Selma Saidi, Dennis Overbeck, Fabian Kurtz, Christian Wietfeld Providing Response Times Guarantees for Mixed-Criticality Network Slicing in 5G. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mahmoud Elfar, Tung-Che Liang, Krishnendu Chakrabarty, Miroslav Pajic Adaptive Droplet Routing for MEDA Biochips via Deep Reinforcement Learning. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Juntong Chen, Hao Cai, Bo Liu 0019, Jun Yang 0006 Triple-Skipping Near-MRAM Computing Framework for AIoT Era. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sahidul Islam, Jieren Deng, Shanglin Zhou, Chen Pan, Caiwen Ding, Mimi Xie Enabling Fast Deep Learning on Tiny Energy-Harvesting IoT Devices. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Laura Medina, Salva Carrion, Pablo Andreu, Tomás Picornell, José Flich, Carles Hernández 0001, Michael Sandoval, Markel Sainz, Charles-Alexis Lefebvre, Martin Rönnbäck, Martin Matschnig, Matthias Wess, Herbert Taucher The SELENE Deep Learning Acceleration Framework for Safety-related Applications. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hao-Yu Chi, Simon Yi-Hung Chen, Hung-Ming Chen, Chien-Nan Liu, Yun-Chih Kuo, Ya-Hsin Chang, Kuan-Hsien Ho Practical Substrate Design Considering Symmetrical and Shielding Routes. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abhiroop Bhattacharjee, Lakshya Bhatnagar, Priyadarshini Panda Examining and Mitigating the Impact of Crossbar Non-idealities for Accurate Implementation of Sparse Deep Neural Networks. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hyunyoung Oh, Dongil Hwang, Maja Malenko, Myunghyun Cho, Hyungon Moon, Marcel Baunach, Yunheung Paek XTENSTORE: Fast Shielded In-memory Key-Value Store on a Hybrid x86-FPGA System. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Antoine Grosnit, Cédric Malherbe, Rasul Tutunov, Xingchen Wan, Jun Wang 0012, Haitham Bou-Ammar BOiLS: Bayesian Optimisation for Logic Synthesis. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lorenzo Ferretti, Giovanni Ansaloni, Renaud Marquis, Tomás Teijeiro, Philippe Ryvlin, David Atienza, Laura Pozzi INCLASS: Incremental Classification Strategy for Self-Aware Epileptic Seizure Detection. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Odysseas Zografos, Bilal Chehab, Pieter Schuddinck, Gioele Mirabelli, Naveen Kakarla, Yang Xiang, Pieter Weckx, Julien Ryckaert Design enablement of CFET devices for sub-2nm CMOS nodes. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andrea Bernardi, Gianluca Brilli, Alessandro Capotondi, Andrea Marongiu, Paolo Burgio An FPGA Overlay for Efficient Real-Time Localization in 1/10th Scale Autonomous Vehicles. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Luca Collini, Ramesh Karri, Christian Pilato A Composable Design Space Exploration Framework to Optimize Behavioral Locking. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nils-Johan Wessman, Fabio Malatesta, Stefano Ribes, Jan Andersson, Antonio García-Vilanova, Miguel Masmano, Vicente Nicolau, Paco Gomez, Jimmy Le Rhun, Sergi Alcaide, Guillem Cabo, Francisco Bas, Pedro Benedicte, Fabio Mazzocchetti, Jaume Abella 0001 De-RISC: A Complete RISC-V Based Space-Grade Platform. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yi Dai, Kai Lu, Sheng Ma, Junsheng Chang Full-credit Flow Control: A Novel Technique to Implement Deadlock-free Adaptive Routing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ravindra Metta, Raveendra Kumar Medicherla, Samarjit Chakraborty BMC+Fuzz: Efficient and Effective Test Generation. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Adnan Maruf, Sashri Brahmakshatriya, Baolin Li, Devesh Tiwari, Gang Quan, Janki Bhimani Do Temperature and Humidity Exposures Hurt or Benefit Your SSDs? Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Suwan Kim, Taewhan Kim Pin Accessibility-driven Placement Optimization with Accurate and Comprehensive Prediction Model. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Junde Li, Swaroop Ghosh Scalable Variational Quantum Circuits for Autoencoder-based Drug Discovery. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hao Chen 0059, Walker J. Turner, David Z. Pan, Haoxing Ren Routability-Aware Placement for Advanced FinFET Mixed-Signal Circuits using Satisfiability Modulo Theories. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yunpeng Song, Qiao Li 0001, Yina Lv, Changlong Li, Liang Shi DWR: Differential Wearing for Read Performance Optimization on High-Density NAND Flash Memory. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker, Peer Adelt, Wolfgang Müller 0003, Reinhold Heckmann, Milos Krstic, Vladimir Herdt, Rolf Drechsler, Gerhard Angst, Ralf Wimmer 0001, Andreas Mauderer, Rafael Stahl, Karsten Emrich, Daniel Mueller-Gritschneder, Bernd Becker 0001, Philipp Scholl, Eyck Jentzsch, Jan Schlamelcher, Kim Grüttner, Paul Palomero Bernardo, Oliver Bringmann 0001, Mihaela Damian, Julian Oppermann, Andreas Koch 0001, Jörg Bormann, Johannes Partzsch, Christian Mayr 0001, Wolfgang Kunz The Scale4Edge RISC-V Ecosystem. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hussam Amrouch, Jens Anders, Steffen Becker 0001, Maik Betka, Gerd Bleher, Peter Domanski, Nourhan Elhamawy, Thomas Ertl, Athanasios Gatzastras, Paul R. Genssler, Sebastian Hasler, Martin Heinrich, André van Hoorn, Hanieh Jafarzadeh, Ingmar Kallfass, Florian Klemme, Steffen Koch 0001, Ralf Küsters, Andrés Lalama, Raphaël Latty, Yiwen Liao, Natalia Lylina, Zahra Paria Najafi-Haghi, Dirk Pflüger, Ilia Polian, Jochen Rivoir, Matthias Sauer 0002, Denis Schwachhofer, Steffen Templin, Christian Volmer, Stefan Wagner 0001, Daniel Weiskopf, Hans-Joachim Wunderlich, Bin Yang 0009, Martin Zimmermann Intelligent Methods for Test and Reliability. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tsung-Lin Tsou, Chung-Wei Lin, Iris Hui-Ru Jiang Deadlock Analysis and Prevention for Intersection Management Based on Colored Timed Petri Nets. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abhijitt Dhavlle, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao CR-Spectre: Defense-Aware ROP Injected Code-Reuse Based Dynamic Spectre. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sergiu Mosanu, Mohammad Nazmus Sakib, Tommy Tracy II, Ersin Cukurtas, Alif Ahmed, Preslav Ivanov, Samira Manabi Khan, Kevin Skadron, Mircea Stan PiMulator: a Fast and Flexible Processing-in-Memory Emulation Platform. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Manuel Brosch, Matthias Probst, Georg Sigl Counteract Side-Channel Analysis of Neural Networks by Shuffling. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel Thermal- and Cache-Aware Resource Management based on ML- Driven Cache Contention Prediction. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Runyu Zhang, Duo Liu, Chaoshu Yang, Xianzhang Chen, Lei Qiao, Yujuan Tan Optimizing CoW-based File Systems on Open-Channel SSDs with Persistent Memory. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Akashdeep Saha, Urbi Chatterjee, Debdeep Mukhopadhyay, Rajat Subhra Chakraborty DIP Learning on CAS-Lock: Using Distinguishing Input Patterns for Attacking Logic Locking. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tingting Zhang, Jie Han 0001 Efficient Traveling Salesman Problem Solvers using the Ising Model with Simulated Bifurcation. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hongji Zou, Mingchuan Shi, Tun Li, WanXia Qu Towards Implementing RTL Microprocessor Agile Design Using Feature Oriented Programming. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fabien Portas, Frédéric Pétrot Fast simulation of future 128-bit architectures. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Martin Herrmann, Christian Witt, Laureen Lake, Stefani Guneshka, Christian Heinzemann, Frank Bonarens, Patrick Feifel, Simon Funke Using ontologies for dataset engineering in automotive AI applications. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Matheus A. Cavalcante, Anthony Agnesina, Samuel Riedel, Moritz Brunion, Alberto García-Ortiz, Dragomir Milojevic, Francky Catthoor, Sung Kyu Lim, Luca Benini MemPool-3D: Boosting Performance and Efficiency of Shared-L1 Memory Many-Core Clusters with 3D Integration. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohsin Kamal, Christos Kyrkou, Nikos Piperigkos, Andreas Papandreou, Andreas Kloukiniotis, Jordi Casademont, Natlia Porras Mateu, Daniel Baos Castillo, Rodrigo Diaz Rodriguez, Nicola Gregorio Durante, Peter Hofmann, Petros Kapsalas, Aris S. Lalos, Konstantinos Moustakas, Christos Laoudias, Theocharis Theocharides, Georgios Ellinas A Comprehensive Solution for Securing Connected and Autonomous Vehicles. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Javad Bahrami, Mohammad Ebrahimabadi, Jean-Luc Danger, Sylvain Guilley, Naghmeh Karimi Leakage Power Analysis in Different S-Box Masking Protection Schemes. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhengtao Li, Zhipeng Tan, Jianxi Chen REH: Redesigning Extendible Hashing for Commercial Non-Volatile Memory. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Achilleas Tzenetopoulos, Dimosthenis Masouros, Konstantina Koliogeorgi, Sotirios Xydis, Dimitrios Soudris, Antony Chazapis, Christos Kozanitis, Angelos Bilas, Christian Pinto, Huy-Nam Nguyen, Stelios Louloudakis, Georgios Gardikis, George Vamvakas, Michelle Aubrun, Christi Symeonidou, Vassilis Spitadakis, Konstantinos F. Xylogiannopoulos, Bernhard Peischl, Tahir Emre Kalayci, Alexander Stocker, Jean-Thomas Acquaviva EVOLVE: Towards Converging Big-Data, High-Performance and Cloud-Computing Worlds. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Priscile Suawa Fogou, Michael Hübner 0001 Health Monitoring of Milling Tools under Distinct Operating Conditions by a Deep Convolutional Neural Network model. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Justin Morris, Hin Wai Lui, Kenneth Michael Stewart, Behnam Khaleghi, Anthony Thomas, Thiago Marback, Baris Aksanli, Emre Neftci, Tajana Rosing HyperSpike: HyperDimensional Computing for More Efficient and Robust Spiking Neural Networks. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nuzhat Yamin, Ganapati Bhat, Janardhan Rao Doppa DIET: A Dynamic Energy Management Approach for Wearable Health Monitoring Devices. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Johnny Rhe, Sungmin Moon, Jong Hwan Ko VW-SDK: Efficient Convolutional Weight Mapping Using Variable Windows for Processing-In-Memory Architectures. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Luyi Li, Jiayi Huang 0001, Lang Feng, Zhongfeng Wang 0001 PREFENDER: A Prefetching Defender against Cache Side Channel Attacks as A Pretender. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ebadollah Taheri, Sudeep Pasricha, Mahdi Nikdast DeFT: A Deadlock-Free and Fault-Tolerant Routing Algorithm for 2.5D Chiplet Networks. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Saurabh Tewari, Anshul Kumar, Kolin Paul SACC: Split and Combine Approach to Reduce the Off-chip Memory Accesses of LSTM Accelerators. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ke Wang 0030, Hao Zheng 0005, Yuan Li 0029, Jiajun Li, Ahmed Louri AGAPE: Anomaly Detection with Generative Adversarial Network for Improved Performance, Energy, and Security in Manycore Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Angeliki Kritikakou, Olivier Sentieys, Guillaume Hubert, Youri Helen, Jean-Francois Coulon, Patrice Deroux-Dauphin Flodam: Cross-Layer Reliability Analysis Flow for Complex Hardware Designs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Leon Li, Alex Orailoglu JANUS-HD: Exploiting FSM Sequentiality and Synthesis Flexibility in Logic Obfuscation to Thwart SAT Attack While Offering Strong Corruption. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alán Rodrigo Díaz Rizo, Hassan Aboushady, Haralampos-G. Stratigopoulos SyncLock: RF Transceiver Security Using Synchronization Locking. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Karthikeyan Nagarajan, Junde Li, Sina Sayyah Ensan, Mohammad Nasim Imtiaz Khan, Sachhidh Kannan, Swaroop Ghosh Analysis of Power-Oriented Fault Injection Attacks on Spiking Neural Networks. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tirthak Patel, Daniel Silver, Devesh Tiwari OPTIC: A Practical Quantum Binary Classifier for Near-Term Quantum Computers. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lokesh Siddhu, Rajesh Kedia, Preeti Ranjan Panda CoreMemDTM: Integrated Processor Core and 3D Memory Dynamic Thermal Management for Improved Performance. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Martin Thümmler, Shubham Rai, Akash Kumar 0001 Improving Technology Mapping for And-Inverter-Cones. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Saideep Tiku, Sudeep Pasricha Siamese Neural Encoders for Long-Term Indoor Localization with Mobile Devices. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Palash Das, Ajay Joshi, Hemangee K. Kapoor Hydra: A near hybrid memory accelerator for CNN inference. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jin Xue, Tianyu Wang, Zili Shao MCMQ: Simulation Framework for Scalable Multi-Core Flash Firmware of Multi-Queue SSDs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Francisco Bas, Pedro Benedicte, Sergi Alcaide, Guillem Cabo, Fabio Mazzocchetti, Jaume Abella 0001 SafeDM: a Hardware Diversity Monitor for Redundant Execution on Non-Lockstepped Cores. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xinyan Zhang, Kai Shant, Zhipeng Tan, Dan Feng 0001 CSLE: A Cost-sensitive Learning Engine for Disk Failure Prediction in Large Data Centers. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Martin Rapp, Nikita Krohmer, Heba Khdr, Jörg Henkel NPU-Accelerated Imitation Learning for Thermal- and QoS-Aware Optimization of Heterogeneous Multi-Cores. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhibing Sha, Zhigang Cai, François Trahay, Jianwei Liao 0001, Dong Yin Unifying Temporal and Spatial Locality for Cache Management inside SSDs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hanbo Sun, Chenyu Wang, Zhenhua Zhu, Xuefei Ning, Guohao Dai, Huazhong Yang, Yu Wang 0002 Gibbon: Efficient Co-Exploration of NN Model and Processing-In-Memory Architecture. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Seyed Hossein Hashemi Shadmehri, Ali BanaGozar, Mehdi Kamal, Sander Stuijk, Ali Afzali-Kusha, Massoud Pedram, Henk Corporaal SySCIM: SystemC-AMS Simulation of Memristive Computation In-Memory. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bo Liu 0019, Hao Cai, Xuan Zhang, Haige Wu, Anfeng Xue, Zilong Zhang, Zhen Wang 0019, Jun Yang 0006 A Target-Separable BWN Inspired Speech Recognition Processor with Low-power Precision-adaptive Approximate Computing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yangchao Zhang, Hiroaki Itsuji, Takumi Uezono, Tadanobu Toba, Masanori Hashimoto Estimating Vulnerability of All Model Parameters in DNN with a Small Number of Fault Injections. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Saeed Seyedfaraji, Baset Mesgari, Semeen Rehman AID: Accuracy Improvement of Analog Discharge-Based in-SRAM Multiplication Accelerator. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Souvik Kundu 0002, Shikai Wang, Qirui Sun, Peter A. Beerel, Massoud Pedram BMPQ: Bit-Gradient Sensitivity-Driven Mixed-Precision Quantization of DNNs from Scratch. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Aibin Yan, Zhixing Li, Shiwei Huang, Zijie Zhai, Xiangyu Cheng, Jie Cui 0004, Tianming Ni, Xiaoqing Wen, Patrick Girard 0001 SCLCRL: Shuttling C-elements based Low-Cost and Robust Latch Design Protected against Triple Node Upsets in Harsh Radiation Environments. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Igor Nunes, Mike Heddes, Tony Givargis, Alexandru Nicolau, Alexander V. Veidenbaum GraphHD: Efficient graph classification using hyperdimensional computing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Juan-David Guerrero-Balaguera, Josie E. Rodriguez Condia, Matteo Sonza Reorda A Compaction Method for STLs for GPU in-field test. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jayati Singh, Ignacio Sañudo Olmedo, Nicola Capodieci, Andrea Marongiu, Marco Caccamo Reconciling QoS and Concurrency in NVIDIA GPUs via Warp-Level Scheduling. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ruixuan Wang, Xun Jiao PoisonHD: Poison Attack on Brain-Inspired Hyperdimensional Computing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shucheng Wang, Qiang Cao 0001, Ziyi Lu, Hong Jiang 0001, Yuanyuan Dong PATS: Taming Bandwidth Contention between Persistent and Dynamic Memories. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yeqi Wei, Tim Fox, Vincent Dumoulin, Wenjing Rao, Natasha Devroye APUF Faults: Impact, Testing, and Diagnosis. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tao Yang, Dongyue Li, Zhuoran Song, Yilong Zhao, Fangxin Liu, Zongwu Wang, Zhezhi He, Li Jiang 0002 DTQAtten: Leveraging Dynamic Token-based Quantization for Efficient Attention Architecture. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tianyu Jia, En-Yu Yang, Yu-Shun Hsiao, Jonathan J. Cruz, David Brooks 0001, Gu-Yeon Wei, Vijay Janapa Reddi OMU: A Probabilistic 3D Occupancy Mapping Accelerator for Real-time OctoMap at the Edge. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alfio Di Mauro, Arpan Suravi Prasad, Zhikai Huang, Matteo Spallanzani, Francesco Conti 0001, Luca Benini SNE: an Energy-Proportional Digital Accelerator for Sparse Event-Based Convolutions. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhixin Pan, Prabhat Mishra 0001 Hardware Acceleration of Explainable Machine Learning. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Po-Yu Huang, Kai-Wei Liu, Zong-Lun Li, Sanggu Park, Edward Andert, Chung-Wei Lin, Aviral Shrivastava Compatibility Checking for Autonomous Lane-Changing Assistance Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rong Zhu, Bo Wang, Dajiang Liu RF-CGRA: A Routing-Friendly CGRA with Hierarchical Register Chains. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Archisman Ghosh, Debayan Das, Santosh Ghosh, Shreyas Sen EM SCA & FI Self-Awareness and Resilience with Single On-chip Loop & ML Classifiers. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hyungmin Cho Know Your Neighbor: Physically Locating Xeon Processor Cores on the Core Tile Grid. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jialin Lu, Liangbo Lei, Fan Yang 0001, Li Shang, Xuan Zeng 0001 Topology Optimization of Operational Amplifier in Continuous Space via Graph Embedding. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yang Ni, Yeseong Kim, Tajana Rosing, Mohsen Imani Algorithm-Hardware Co-Design for Efficient Brain-Inspired Hyperdimensional Learning on Edge. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lei Mo, Qi Zhou, Angeliki Kritikakou, Ji Liu 0003 Energy Efficient, Real-time and Reliable Task Deployment on NoC-based Multicores with DVFS. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Paras Jain 0001, Safeen Huda, Martin Maas 0001, Joseph E. Gonzalez, Ion Stoica, Azalia Mirhoseini Learning to Design Accurate Deep Learning Accelerators with Inaccurate Multipliers. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jinho Lee, Burin Amornpaisannon, Tulika Mitra, Trevor E. Carlson GraphWave: A Highly-Parallel Compute-at-Memory Graph Processing Accelerator. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Michael Hefenbrock, Dennis D. Weller, Jasmin Aghassi-Hagmann, Michael Beigl, Mehdi B. Tahoori In-situ Tuning of Printed Neural Networks for Variation Tolerance. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Syed Aftab Rashid, Muhammad Ali Awan, Pedro F. Souto, Konstantinos Bletsas, Eduardo Tovar Cache-aware Schedulability Analysis of PREM Compliant Tasks. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jan Lauinger, Andreas Finkenzeller, Henrik Lautebach, Mohammad Hamad, Sebastian Steinhorst Attack Data Generation Framework for Autonomous Vehicle Sensors. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yu Zeng, Aarti Gupta, Sharad Malik Automatic Generation of Architecture-Level Models from RTL Designs for Processors and Accelerators. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alexander J. Edwards, Naimul Hassan, Dhritiman Bhattacharya, Mustafa M. Shihab, Peng Zhou, Xuan Hu, Jayasimha Atulasimha, Yiorgos Makris, Joseph S. Friedman Physically and Algorithmically Secure Logic Locking with Hybrid CMOS/Nanomagnet Logic Circuits. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tobias Hahn, Andreas Becher, Stefan Wildermann, Jürgen Teich Raw Filtering of JSON Data on FPGAs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Natasha Yogananda Jeppu, Tom Melham, Daniel Kroening Active Learning of Abstract System Models from Traces using Model Checking. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jonah Van Assche, Ruben Helsen, Georges G. E. Gielen EffiCSense: an Architectural Pathfinding Framework for Energy-Constrained Sensor Applications. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Han Wang, Syed Mahbub Hafiz, Kartik Patwari, Chen-Nee Chuah, Zubair Shafiq, Houman Homayoun Stealthy Inference Attack on DNN via Cache-based Side-Channel Attacks. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Parya Zolfaghari, Joel Ortiz, Cédric Killian, Sébastien Le Beux Non-Volatile Phase Change Material based Nanophotonic Interconnect. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yongfeng Wang, Yinjin Fu, Yubo Liu, Zhiguang Chen, Nong Xiao Characterizing and Optimizing Hybrid DRAM-PM Main Memory System with Application Awareness. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lilas Alrahis, Satwik Patnaik, Muhammad Shafique 0001, Ozgur Sinanoglu MuxLink: Circumventing Learning-Resilient MUX-Locking Using Graph Neural Network-based Link Prediction. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 7557 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license