The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ESSCIRC"( http://dblp.L3S.de/Venues/ESSCIRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/esscirc

Publication years (Num. hits)
2003 (175) 2004 (115) 2005 (128) 2007 (121) 2008 (122) 2009 (113) 2010 (124) 2011 (133) 2012 (121) 2013 (109) 2014 (113) 2015 (102) 2016 (124) 2017 (96) 2018 (94) 2019 (97) 2021 (125) 2022 (132) 2023 (129)
Publication types (Num. hits)
inproceedings(2254) proceedings(19)
Venues (Conferences, Journals, ...)
ESSCIRC(2273)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 2273 publication records. Showing 2273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Mahmoud Mahdipour Pirbazari, Federico Pepe, Andrea Mazzanti 40GHz Frequency Tripler with High Fundamental and Harmonics Rejection in 55nm SiGe-BiCMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gholamreza Nikandish, Robert Bogdan Staszewski, Anding Zhu Broadband Fully Integrated GaN Power Amplifier With Embedded Minimum Inductor Bandpass Filter and AM-PM Compensation. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Keun-Mok Kim, Eui-Rim Jeong, Kyung-Sik Choi, Subin Kim, Byeonghun Yun, Hyunki Jung, Wonkab Oh, Jinho Ko, Sang-Gug Lee 0001 A 915 MHz, 499 μW, -99 dBm, and 100 kbps BFSK Direct Conversion Receiver. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 45th IEEE European Solid State Circuits Conference, ESSCIRC 2019, Cracow, Poland, September 23-26, 2019 Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  BibTeX  RDF
1Edoardo Charbon Cryo-CMOS Electronics for Quantum Computing Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alessandro Franceschin, Pietro Andreani, Fabio Padovan, Matteo Bassi, Roberto Nonis, Andrea Bevilacqua A 19.5 GHz 28 nm CMOS Class-C VCO with Reduced 1/f Noise Upconversion. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Li-Yang Chen, Chih-Kong Ken Yang A 19-GHz Pulsed-Coherent ToF Receiver With 40-μm Precision for Laser Ranging Systems. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Suyoung Bang, Minki Cho, Pascal Meinerzhagen, Andres Malavasi, Muhammad M. Khellah, James W. Tschanz, Vivek De An All-Digital, VMAX-Compliant, and Stable Distributed Charge Injection Scheme for Fast Mitigation of Voltage Droop. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Benjamin J. Fletcher, Terrence S. T. Mak, Shidhartha Das A 10.8pJ/bit Pulse-Position Inductive Transceiver for Low-Energy Wireless 3D Integration. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alberto Gatti, Giorgio Spiazzi, Andrea Gerosa, Andrea Neviani, Andrea Bevilacqua A 130-nm CMOS Dual Input-Polarity DC-DC Converter for Low-Power Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pascal Andreas Meinerzhagen, Sandip Kundu, Andres Malavasi, Trang Nguyen, Muhammad M. Khellah, James W. Tschanz, Vivek De Min-Delay Margin/Error Detection and Correction for Flip-Flops and Pulsed Latches in 10-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Paul Stärke, Xin Xu, Corrado Carta, Frank Ellinger Direct-Conversion I-Q Transmitter Front-End for 180 GHz with 80 GHz Bandwidth in 130 nm SiGe. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Charles Jeon, Oscar Castañeda, Christoph Studer A 354 Mb/s 0.37 mm2 151 mW 32-User 256-QAM Near-MAP Soft-Input Soft-Output Massive MU-MIMO Data Detector in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tianli Zhang, Yuefeng Cao, Shumin Zhang, Chixiao Chen, Fan Ye 0001, Junyan Ren Machine Learning Based Prior-Knowledge-Free Calibration for Split Pipelined-SAR ADCs with Open-Loop Amplifiers Achieving 93.7-dB SFDR. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yorgos Palaskas, Peter Plechinger, Ashoke Ravi, Ofir Degani, Rotem Banin, Eshel Gordon, Zdravko Boos, Paolo Madoglio, Jörn Angel, Jakob M. Tomasik, Sven Hampel, Petra Schubert, Peter Preyler, Thomas Mayer 0003, Thomas Bauernfeind A Cellular Multiband DTC-Based Digital Polar Transmitter With -153 dBc/Hz Noise in 14-nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Seung-Woo Song, Changuk Lee, Moon Hyung Jang, Youngcheol Chae A 185 μW -105.1 dB THD 88.6 dB SNDR Negative-R Stabilized Audio Preamplifier. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1John P. Uehlin, William Anthony Smith, Venkata Rajesh Pamula 0001, Steve I. Perlmutter, Visvesh Sathe 0001, Jacques Christophe Rudell A Bidirectional Brain Computer Interface with 64-Channel Recording, Resonant Stimulation and Artifact Suppression in Standard 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Franck Arnaud, Sébastien Haendler, Sylvain Clerc, Rossella Ranica, Anna Gandolfo, Olivier Weber 28nm FDSOI Platform with Embedded PCM for IoT, ULP, Digital, Analog, Automotive and others Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hyunjoon Kim, Qian Chen 0027, Taegeun Yoo, Tony Tae-Hyoung Kim, Bongjin Kim A 1-16b Precision Reconfigurable Digital In-Memory Computing Macro Featuring Column-MAC Architecture and Bit-Serial Computation. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sher Jiun Fang, Frank Zhang, Abdellatif Bellaouar, Sherif H. K. Embabi A 28GHz Sliding-IF Receiver in 22nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mario Auer, Timuçin Karaca A Class-D Amplifier with Digital PWM and Digital Loop-Filter using a Mixed-Signal Feedback Loop. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Rafal Kleczek, Piotr Kmon, Piotr Maj, Robert Szczygiel, Pawel Grybos, Yasukazu Nakaye, Takuto Sakumura, Taguchi Takeyoshi SPC Pixel IC with 9.4 e- rms Offset Spread, 60 e- rms ENC and 70 kfps Frame Rate. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yifan Lyu, Filip Tavernier A 4-GS/s 39.9-dB SNDR 11.7-mW Hybrid Voltage-Time Two-Step ADC With Feed-Forward Ring Oscillator-Based TDCs. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhewei Jiang, Shihui Yin, Jae-Sun Seo, Mingoo Seok C3SRAM: In-Memory-Computing SRAM Macro Based on Capacitive-Coupling Computing. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Marcella Carissimi, Ritesh Mukherjee, Vivek Tyagi, Fabio Disegni, Davide Manfrè, Cesare Torti, Daniele Gallinari, Sandro Rossi, Andrea Gambero, Donatella Brambilla, Paola Zuliani, Riccardo Zurla, Alessandro Cabrini, Guido Torelli, Marco Pasotti, Chantal Auricchio, Emanuela Calvetti, Laura Capecchi, Luigi Croce, Stefano Zanchi, Vikas Rana, Preeti Mishra 2-Mb Embedded Phase Change Memory With 16-ns Read Access Time and 5-Mb/s Write Throughput in 90-nm BCD Technology for Automotive Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Thije Rooijers, Johan H. Huijsing, Kofi A. A. Makinwa An Auto-Zero Stabilized Voltage Buffer with a Trimmed Input Current of 0.2pA. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pieter Harpe, Hanyue Li, Yuting Shen Low-power SAR ADCs: trends, examples and future. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohamed Atef Shehata, Mike Keaveney, Robert Bogdan Staszewski A 184.6-dBc/Hz FoM 100-kHz Flicker Phase Noise Corner 30-GHz Rotary Traveling-Wave Oscillator Using Distributed Stubs in 22-nm FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dongfang Pan, Zongming Duan, Liguo Sun, Shita Guo, Lin Cheng 0001, Ping Gui A 76-81 GHz CMOS PA with 16-dBm PSAT and 30-dB Amplitude Control for MIMO Automotive Radars. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jongmin Lee, Minsun Kim, Gicheol Shin, Yoonmyung Lee A 20F2 Area-Efficient Differential nand-Structured Physically Unclonable Function for Low-Cost IoT Security. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peishuo Li, Tom R. Molderez, Frederik Ceyssens, Korneel Rabaey, Marian Verhelst A 64-channel, 1.1-pA-accurate On-chip Potentiostat for Parallel Electrochemical Monitoring. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Deepak Kadetotad, Visar Berisha, Chaitali Chakrabarti, Jae-Sun Seo A 8.93-TOPS/W LSTM Recurrent Neural Network Accelerator Featuring Hierarchical Coarse-Grain Sparsity With All Parameters Stored On-Chip. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xi Yang, Seung-Jun Bae, Hae-Seung Lee An 8-bit 2.8 GS/s Flash ADC with Time-based Offset Calibration and Interpolation in 65 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nishit Shah, Pedram Lajevardi, Ken Wojciechowski, Christoph Lang, Boris Murmann An Energy Harvester Using Image Sensor Pixels With Cold Start and Over 96% MPPT Efficiency. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jacob Göppert, Simon Braun, David Pellhammer, Mohammad Amayreh, Joachim Leicht, Matthias Keller, Yiannos Manoli Area Constrained Multi-Source Power Management for Thermoelectric Energy Harvesting. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Giacomo Pini, Danilo Manstretta, Rinaldo Castello A 260-MHz RF Bandwidth Mixer-First Receiver With Third-Order Current-Mode Filtering TIA. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Markus Scholl, Ralf Wunderlich, Stefan Heinen, Tobias Saalfeld, Christoph Beyerstedt, Fabian Speicher, Jonas Meier, Michael Hanhart, Leo Rolff, Vahid Bonehi, Moritz Schrey A 32 MHz Crystal Oscillator with Fast Start-Up Using Dithered Injection and Negative Resistance Boost. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Matthias Eberlein, Harald Pretl A No-Trim, Scaling-Friendly Thermal Sensor in 16nm FinFET Using Bulk Diodes as Sensing Elements. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Eric Swindlehurst, Hunter Jensen, Alexander Petrie, Yixin Song, Yen-Cheng Kuan, Mau-Chung Frank Chang, Jieh-Tsorng Wu, Shiuh-Hua Wood Chiang An 8-bit 10-GHz 21-mW Time-Interleaved SAR ADC With Grouped DAC Capacitors and Dual-Path Bootstrapped Switch. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Farhad Bozorgi, Melchiorre Bruccoleri, Matteo Repossi, Enrico Temporiti, Andrea Mazzanti, Francesco Svelto A 26-Gb/s 3-D-Integrated Silicon Photonic Receiver in BiCMOS-55 nm and PIC25G With - 15.2-dBm OMA Sensitivity. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Imran Bashir, Krzysztof Pomorski, Robert Bogdan Staszewski, Mike Asker, Cagri Cetintepe, Dirk Leipold, Ali Esmailiyan, Hongying Wang, Teerachot Siriburanon, Panagiotis Giounanlis, Elena Blokhina A Mixed-Signal Control Core for a Fully Integrated Semiconductor Quantum Computer System-on-Chip. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1John Bell, Michael P. Flynn A Simultaneous Multiband Continuous-Time ΔΣ ADC With 90-MHz Aggregate Bandwidth in 40-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bart J. Thijssen, Eric A. M. Klumperink, Philip Quinlan, Bram Nauta A 0.06-3.4-MHz 92-μW Analog FIR Channel Selection Filter With Very Sharp Transition Band for IoT Receivers. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zheng Sun, Hanli Liu, Dingxin Xu, Hongye Huang, Bangan Liu, Zheng Li 0021, Jian Pang, Teruki Someya, Atsushi Shirane A 78 fs RMS Jitter Injection-Locked Clock Multiplier Using Transformer-Based Ultra-Low-Power VCO. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Rehman Akbar, Eric A. M. Klumperink, Nuutti Tervo, Muhammad Yasir Javed, Kari Stadius, Timo Rahkonen, Aarno Pärssinen A Wideband IF Receiver Module for Flexibly Scalable mmWave Beamforming Combining and Interference Cancellation. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tetsuo Matsui, Keisaku Sento, Tomohiko Ebata, Atsuhiko Ishibashi A Capacitor Dielectric Relaxation Effect Cancellation Circuit in a 12-Bit, 1-MSps, 5.0-V SAR ADC on a 28-nm Embedded Flash Memory Microcontroller. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mahmoud R. Elhebeary, Li-Yang Chen, Sudhakar Pamarti, Chih-Kong Ken Yang An 8.5pJ/bit Ultra-Low Power Wake-Up Receiver Using Schottky Diodes for IoT Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Reza Bagger, Henrik Sjöland An 11 GHz-Bandwidth Variable Gain Ka-Band Power Amplifier for 5G Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Marc Pons Solé, Andre Bischof, Lorenzo Bergamini, Philippe Dallemagne, Stéphane Emery, Komail M. H. Badami, Jiang Deng, Themistoklis Mavrogordatos, Erfan Azarkhish, Loïc Zahnd, Costantino Cosentino, Marcin K. Augustyniak, Yingyun Zha A 20 Channel EMG SoC with an Integrated 32b RISC Core for Real-Time Wireless Prosthetic Control. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qin Kuai, Qiping Wan, Philip K. T. Mok A Dual-Frequency Dual-Input-Dual-Output Interface for Thermoelectric Energy Harvesting and Recycling With 82.9% Efficiency. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Matteo Bassi, Giovanni Boi, Fabio Padovan, Jonas Fritzin, Stefano Di Martino, Daniel Knauder, Andrea Bevilacqua A 39-GHz Frequency Tripler With >40-dBc Harmonic Rejection for 5G Communication Systems in 28-nm Bulk CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qingjun Fan, Jinghong Chen A 1-GS/s 8-Bit 12.01-fJ/conv.-step Two-Step SAR ADC in 28-nm FDSOI Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qingjun Fan, Jinghong Chen A 2.4 GS/s 10-Bit Time-Interleaved SAR ADC with a Bypass Window and Opportunistic Offset Calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yasu Lu, Feng Chen 0026, Philip K. T. Mok A Single-Controller-Four-Output Analog-Assisted Digital LDO with Adaptive-Time-Multiplexing Control in 65-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nicholas Sutardja, Jaeduk Han, Nathan Narevsky, Elad Alon A 2-tap switched capacitor FFE transmitter achieving 1-20 Gb/s at 0.72-0.62 pJ/bit. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Omar Abdelatty, Henry L. Bishop, Yao Shi 0001, Xing Chen 0004, Abdullah Mohammed Alghaihab, Benton H. Calhoun, David D. Wentzloff A Low Power Bluetooth Low-Energy Transmitter with a 10.5nJ Startup-Energy Crystal Oscillator. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yusang Chun, Ashwin Ramachandran, Tejasvi Anand A PAM-8 Wireline Transceiver with Receiver Side PWM (Time-Domain) Feed Forward Equalization Operating from 12-to-39.6Gb/s in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mingliang Tan, Eunchul Kang, Jae-Sung An, Zu-Yao Chang, Philippe Vince, Nicolas Sénégond, Michiel A. P. Pertijs An Integrated Programmable High-Voltage Bipolar Pulser With Embedded Transmit/Receive Switch for Miniature Ultrasound Probes. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yu Zou, Massimo Gottardi, Michela Lecca, Matteo Perenzoni A Low-Power VGA Vision Sensor with Event Detection through Motion Computation based on Pixel-Wise Double-Threshold Background Subtraction and Local Binary Pattern Coding. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shang-Hsien Yang, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A Temperature Compensated 61-W Class-E Soft-Switching GaN-Based Active Diode Rectifier for Wireless Power Transfer Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lorenzo Lotti, Greg LaCaille, Ali M. Niknejad A 57-74-GHz Tail-Switching Injection-Locked Frequency Tripler in 28-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1R. S. Ashwin Kumar, Nagendra Krishnapura A 2-Channel ADC Using a Delta-Sigma Modulator Without Reset & a Modulated-Sinc-Sum Filter. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Robert Giterman, Maoz Wicentowski, Oron Chertkow, Ilan Sever, Ishai Kehati, Yoav Weizman, Osnat Keren, Alexander Fish Power Analysis Resilient SRAM Design Implemented with a 1% Area Overhead Impedance Randomization Unit for Security Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yury Antonov, Mikko Valkama, Marko Kosunen, Jussi Ryynänen, Mahwish Zahra, Kari Stadius, Zahra Khonsari, Ilia Kempi, Toni Miilunpalo, Juha Inkinen, Vishnu Unnikrishnan, Lauri Anttila A Delay-Based LO Phase-Shifting Generator for a 2-5GHz Beamsteering Receiver in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Enrico Manuzzato, Eliana Gioscio, Ilaria Mattei, Riccardo Mirabelli, Vincenzo Patera, Alessio Sarti, Angelo Schiavi, Adalberto Sciubba, Serena M. Valle, Giacomo Traini, Michela Marafini, Leonardo Gasparini, Matteo Perenzoni, Yu Zou, Luca Parmesan, Giuseppe Battistoni, M. De Simoni, Y. Dong, M. Fischetti A 16 × 8 Digital-SiPM Array With Distributed Trigger Generator for Low SNR Particle Tracking. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yongzhen Chen, Xingchen Shen, Zhekan Ni, Jingchao Lan, Chixiao Chen, Fan Ye 0001, Junyan Ren A 625MS/s, 12-Bit, SAR Assisted Pipeline ADC with Effective Gain Analysis for Inter-stage Ringamps. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pavel Horsky, Jan Plojhar, Jiri Daniel Adaptive Peak Average Current Control LED Driver for Automotive Lighting. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gerasimos Vlachogiannakis, Charis Basetas, Georgia Tsirimokou, Chrysoula Vassou, Konstantinos Vastarouchas, Aidonia Georgiadou, Ioulia Sotiriou, Timothea Korfiati, Savvas Sgourenas A Self-Calibrated Fractional-N PLL for WiFi 6 / 802.11ax in 28nm FDSOI CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yong-Tae Lee, Woojun Choi, Taewoong Kim, Seung-Woo Song, Kofi A. A. Makinwa, Youngcheol Chae A 5800-μm2 Resistor-Based Temperature Sensor With a One-Point Trimmed Inaccuracy of ±1.2 °C (3σ) From -50 °C to 105 °C in 65-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Maciej Kucharski, Herman Jalli Ng, Dietmar Kissinger An 18 dBm 155-180 GHz SiGe Power Amplifier Using a 4-Way T-Junction Combining Network. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Akshay Visweswaran, Bastien Vignon, Xinyan Tang, Steven Brebels, Björn Debaillie, Piet Wambacq A 112-142GHz Power Amplifier with Regenerative Reactive Feedback achieving 17dBm peak Psat at 13% PAE. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Young-Ha Hwang, Jonghyun Oh, Jiheon Park, Yoonho Song, Jung-Hun Park, Jun-Eun Park, Deog-Kyoon Jeong An Always-On 0.53-to-13.4 mW Power-Scalable Touchscreen Controller for Ultrathin Touchscreen Displays With Current-Mode Filter and Incremental Hybrid ΔΣ ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Van Loi Le, Taegeun Yoo, Ju Eon Kim, Kwang-Hyun Baek, Tony Tae-Hyoung Kim A 213.7-µW Gesture Sensing System-On-Chip With Self-Adaptive Motion Detection and Noise-Tolerant Outermost-Edge-Based Feature Extraction in 65 nm. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cheng-Hsueh Tsai, Federico Pepe, Giovanni Mangraviti, Zhiwei Zong, Jan Craninckx, Piet Wambacq A 22.5-27.7-GHz Fast-Lock Bang-Bang Digital PLL in 28-nm CMOS for Millimeter-Wave Communication With 220-fs RMS Jitter. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wanyuan Qu, Donglie Gu, Haixiao Cao, Xu Yang, Jianxiong Xi, Lenian He, Shuo Dong A 95.3% Peak Efficiency 38mV overshoot and 5mV/A load regulation Hysteretic Boost Converter with Anti-Phase Emulate Current Control. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wouter Diels, Michiel Steyaert, Filip Tavernier Optical Receiver with Schottky Photodiode and TIA with High Gain Amplifier in 28nm Bulk CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gönenç Berkol, Peter G. M. Baltus, Pieter J. A. Harpe, Eugenio Cantatore A -81.6dBm Sensitivity Ultrasound Transceiver in 65nm CMOS for Symmetrical Data-Links. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mahdi Rajabzadeh, Matthias Häberle, Ankesh Jain, Maurits Ortmanns An Integrated Readout for Current Sensing based on a Σ∆ Modulator with Switched Capacitor Feedback. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hao Ding, Xuqiang Zheng, DanYu Wu, Lei Zhou, Jin Wu, Fangxu Lv, Jianye Wang, Xinyu Liu 0004 A 112-Gb/s PAM-4 Transmitter With a 2-Tap Fractional-Spaced FFE in 65-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sachin Taneja, Massimo Alioto PUF-based Key Generation with Design Margin Reduction via In-Situ and PVT Sensor Fusion. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Todd Joseph Smith, Anna Broome, Daniel Stanley, Jonas Westberg, Gerard Wysocki, Kaushik Sengupta A Hybrid THz Imaging System With a 100-Pixel CMOS Imager and a 3.25-3.50 THz Quantum Cascade Laser Frequency Comb. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Federico Fary, Luca Mangiagalli, Elia A. Vallicelli, Marcello De Matteis, Andrea Baschirotto A 28nm bulk-CMOS 50MHz 18 dBm-IIP3 Active-RC Analog Filter based on 7 GHz UGB OTA. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Roland Van Wegberg, Julien Penders, Chris Van Hoof, Nick Van Helleputte, Wim Sijbers, Shuang Song 0003, Arjan Breeschoten, Peter Vis, Mario Konijnenburg, Hui Jiang, Michiel Rooijakkers, Torfinn Berset A 5-Channel Unipolar Fetal-ECG Readout IC for Patch-Based Fetal Monitoring. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Elbert Bechthum, Mohieddine El Soussi, Johan Dijkhuis, Paul Mateman, Gert-Jan van Schaik, Arjan Breeschoten, Yao-Hong Liu, Christian Bachmann, Kathleen Philips A CMOS Polar Single-Supply Class-G SCPA for LTE NB-IoT and Cat-M1. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bart Philippe, Patrick Reynaert A Quadrature Phase Detector in 28nm CMOS for Differential mm-Wave Sensing Applications Using Dielectric Waveguides. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Javid Musayev, Antonio Liscidini Quantized Analog RX Front-End for SAW-Less Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shankarram Athreya, Hiva Hedayati, Shayan Kazemkhani, Yanfei Chen, Saurabh Vats, Michael D. Scott 0002, Bart Zeydel, Peter Keller, Jian Wang, Bhaskarareddy Avula, Boris Murmann, Echere Iroaga Clock Synchronous Reset and Skew Calibration of 65GS/s ADCs in A Multi-Lane Coherent Receiver. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ashwin Raghunathan, Thomas H. Lee A 125 pJ/hit 5 mW 28 GHz Superregenerative Receiver with Automatic Gain Control and Energy Efficient Startup for Burst Mode IoE Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Laurent Millet, Margaux Vigier, Gilles Sicard, Wilfried Uhring, Nils Margotat, Fabrice Guellec, Sébastien Martin A 5 Million Frames Per Second 3D Stacked Image Sensor With In-Pixel Digital Storage. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Borivoje Nikolic Energy-Efficient Design in FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Antonio Pullini, Davide Rossi, Igor Loi, Alfio Di Mauro, Luca Benini Mr. Wolf: A 1 GFLOP/s Energy-Proportional Parallel Ultra Low Power SoC for IOT Edge Processing. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jaro De Roose, Haoming Xin, Martin Andraud, Pieter J. A. Harpe, Marian Verhelst Flexible and Self-Adaptive Sense-and-Compress for Sub-MicroWatt Always-on Sensory Recording. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1J. Rimmelspacher, Robert Weigel, Amelie Hagelauer, Vadim Issakov A Quad-Core 60 GHz Push-Push 45 nm SOI CMOS VCO with -101.7 dBc/Hz Phase Noise at 1 MHz offset, 19 % Continuous FTR and -187 dBc/Hz FoMT. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jiangyi Li, Pavan Kumar Chundi, Sung Kim, Zhewei Jiang, Minhao Yang, Joonseong Kang, Seungchul Jung, Sang Joon Kim, Mingoo Seok A 0.78-µW 96-Ch. Deep Sub-Vt Neural Spike Processor Integrated with a Nanowatt Power Management Unit. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Edith Beigné FDSOI Circuit Design for High Energy Efficiency: Wide Operating Range and ULP Applications - a 7-Year Experience. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Teerasak Lee, Henry Kennedy 0001, R. A. Bodnar, William Redman-White An MF Energy Harvesting Receiver with Slow QPSK Control Data Demodulator for Wide Area Low Duty Cycle Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kuangyuan Ying, Carlos A. M. Costa Júnior, Bindi Wang, Dusan M. Milosevic, Hao Gao 0001, Peter G. M. Baltus A Reconfigurable Receiver with 38 dB Frequency-Independent Blocker Suppression and Enhanced in-B and Linearity and Power Efficiency. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Elly De Pelecijn, Michiel Steyaert A Fully Integrated Switched-Capacitor Based AC-DC Converter for a 120VRMS Mains Interface. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Matan Gal-Katziri, Ali Hajimiri A Coupled Inductive Bridge for Magnetic Sensing Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Michael Hanhart, Soheil Aghaie, Stefan Dietrich, Tobias Zekorn, Ralf Wunderlich, Stefan Heinen A 16.5 W Single-Inductor 4-Channel Multi-Color Output DC-DC Buck LED Driver with Digital Control and 96 % Efficiency. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 2273 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license