The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "HLDVT"( http://dblp.L3S.de/Venues/HLDVT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/hldvt

Publication years (Num. hits)
2000 (29) 2001 (29) 2002 (33) 2003 (28) 2004 (32) 2005 (31) 2006 (33) 2007 (28) 2008 (26) 2009 (29) 2010 (26) 2011 (24) 2012 (25) 2016 (28) 2017 (16)
Publication types (Num. hits)
inproceedings(402) proceedings(15)
Venues (Conferences, Journals, ...)
HLDVT(417)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 417 publication records. Showing 417 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yee-Wing Hsieh, Steven P. Levitan Abstraction techniques for verification of multiple tightly coupled counters, registers and comparators. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Christophe Paoli, Marie-Laure Nivet, Jean François Santucci Use of constraint solving in order to generate test vectors for behavioral validation. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Michael D. Jones, Ganesh Gopalakrishnan Toward automated abstraction for protocols on branching networks. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1M. Beardo, Francesco Bruschi, Fabrizio Ferrandi, Donatella Sciuto An approach to functional testing of VLIW architectures. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Bart Vermeulen, Gert-Jan van Rootselaar Silicon debug of a co-processor array for video applications. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1 Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, Berkeley, California, USA, November 8-10, 2000 Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  BibTeX  RDF
1Stefan Koerner Code simulation concept for S/390 processors using an emulation system. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Dominique Federici, Paul Bisgambiglia, Jean François Santucci High level fault simulation: experiments and results on ITC'99 benchmarks. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Hoon Choi, Byeong-Whee Yun, Yun-Tae Lee Simulation strategy after model checking: experience in industrial SOC design. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Anneliese von Mayrhauser, Tom Chen 0001, Jan Kok, Chuck Anderson 0001, Anita Read, Amjad Hajjar On choosing test criteria for behavioral level hardware design verification. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Karen A. Tomko, Anurag Tiwari Hardware/software co-debugging for reconfigurable computing. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1M. S. Jahanpour, Eduard Cerny Compositional verification of an ATM switch module using interface recognizer/suppliers (IRS). Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Hiroyuki Tomiyama, Taisei Yoshino, Nikil D. Dutt Verification of in-order execution in pipelined processors. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Vivekananda M. Vedula, Jacob A. Abraham A novel methodology for hierarchical test generation using functional constraint composition. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Fabian Wolf, Rolf Ernst Data flow based cache prediction using local simulation. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Marcello Lajolo, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante, Luciano Lavagno Behavioral-level test vector generation for system-on-chip designs. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Rajesh Radhakrishnan, Elena Teica, Ranga Vemuri An approach to high-level synthesis system validation using formally verified transformations. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #417 of 417 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license