The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ICCD"( http://dblp.L3S.de/Venues/ICCD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/iccd

Publication years (Num. hits)
1988 (125) 1989 (116) 1990 (107) 1991 (128) 1992 (120) 1993 (114) 1994 (116) 1995 (97) 1996 (83) 1997 (104) 1998 (97) 1999 (102) 2000 (94) 2001 (89) 2002 (90) 2003 (92) 2004 (91) 2005 (116) 2006 (82) 2007 (94) 2008 (107) 2009 (81) 2010 (84) 2011 (84) 2012 (100) 2013 (82) 2014 (81) 2015 (115) 2016 (108) 2017 (111) 2018 (86) 2019 (93) 2020 (103) 2021 (88) 2022 (107) 2023 (89)
Publication types (Num. hits)
inproceedings(3546) proceedings(30)
Venues (Conferences, Journals, ...)
ICCD(3576)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1102 occurrences of 642 keywords

Results
Found 3576 publication records. Showing 3576 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sergi Vilardell, Isabel Serra, Jaume Abella 0001, Joan del Castillo, Francisco J. Cazorla Software Timing Analysis for Complex Hardware with Survivability and Risk Analysis. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mainak Chaudhuri, Jayesh Gaur, Sreenivas Subramoney Bandwidth-Aware Last-Level Caching: Efficiently Coordinating Off-Chip Read and Write Bandwidth. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Junichiro Kadomoto, Hidetsugu Irie, Shuichi Sakai WiXI: An Inter-Chip Wireless Bus Interface for Shape-Changeable Chiplet-Based Computers. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 37th IEEE International Conference on Computer Design, ICCD 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019 Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  BibTeX  RDF
1Kazim Ergun, Raid Ayoub, Pietro Mercati, Tajana Rosing Dynamic Optimization of Battery Health in IoT Networks. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaojia Song, Tao Xie 0004, Stephen Fischer A Memory-Access-Efficient Adaptive Implementation of kNN on FPGA through HLS. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wenhui Zhang 0005, Qiang Cao 0001, Hong Jiang 0001, Jie Yao, Yuanyuan Dong, Puyuan Yang SPA-SSD: Exploit Heterogeneity and Parallelism of 3D SLC-TLC Hybrid SSD to Improve Write Performance. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ryan Vrecenar, Michael Hall, Joshua Zshiesche, Mahesh Naidu, Jeyavijayan Rajendran, Stavros Kalafatis Red Teaming a Multi-Colored Bluetooth Bulb. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Eleonore Carpentier, Corentin Thomasset, Jérémy Briffaut Bridging The Gap: Data Exfiltration In Highly Secured Environments Using Bluetooth IoTs. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mingzhe Zhang, Lunkai Zhang, Frederic T. Chong, Zhiyong Liu 0002 Balancing Performance and Energy Efficiency of ONoC by Using Adaptive Bandwidth. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jonathan Beaumont, Trevor N. Mudge Fine-Grained Management of Thread Blocks for Irregular Applications. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sayed Abdolrasouol Faraji, Gaurav Singh, Kia Bazargan HBUNN - Hybrid Binary-Unary Neural Network: Realizing a Complete CNN on an FPGA. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhuohui Duan, Haikun Liu, Xiaofei Liao, Hai Jin 0001, Wenbin Jiang, Yu Zhang 0027 HiNUMA: NUMA-Aware Data Placement and Migration in Hybrid Memory Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lanlan Cui, Fei Wu 0005, Xiaojian Liu, Meng Zhang 0014, Changsheng Xie VaLLR: Threshold Voltage Distribution Aware LLR Optimization to Improve LDPC Decoding Performance for 3D TLC NAND Flash. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ning Lin, Hang Lu, Xing Hu 0001, Jingliang Gao, Mingzhe Zhang, Xiaowei Li 0001 When Deep Learning Meets the Edge: Auto-Masking Deep Neural Networks for Efficient Machine Learning on Edge Devices. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Divya Pathak, Ioannis Savidis Applying Swarm Intelligence to Distributed On-Chip Power Management. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nezam Rohbani, Tapas Kumar Maiti, Dondee Navarro, Mitiko Miura-Mattausch, Hans Jürgen Mattausch, Hirotaka Takatsuka NVDL-Cache: Narrow-Width Value Aware Variable Delay Low-Power Data Cache. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yubo Liu, Hongbo Li 0007, Yutong Lu, Zhiguang Chen, Ming Zhao An Efficient and Flexible Metadata Management Layer for Local File Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1WonJun Song, John Kim A Case for Software-Based Adaptive Routing in NUMA Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jinghan Zhang, Hamed Tabkhi, Gunar Schirner Mitigating Application Diversity for Allocating a Unified ACC-Rich Platform. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chunxue Zuo, Fang Wang 0001, Ping Huang, Yuchong Hu, Dan Feng 0001 RepEC-Duet: Ensure High Reliability and Performance for Deduplicated and Delta-Compressed Storage Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yicheng Wang, Yang Liu 0114, Peiyun Wu, Zhao Zhang 0008 Reinforce Memory Error Protection by Breaking DRAM Disturbance Correlation Within ECC Words. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Siyuan Xu, Benjamin Carrión Schäfer Low Power Design of Runtime Reconfigurable FPGAs through Contexts Approximations. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Charalampos Antoniadis, Milan Mihajlovic, Nestor E. Evmorfopoulos, Georgios I. Stamoulis, Vasilis F. Pavlidis Efficient Linear System Solution Techniques in the Simulation of Large Dense Mutually Inductive Circuits. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mahmood Azhar Qureshi, Arslan Munir PUF-RLA: A PUF-Based Reliable and Lightweight Authentication Protocol Employing Binary String Shuffling. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohammad Saeed Abrishami, Massoud Pedram, Shahin Nazarian CSM-NN: Current Source Model Based Logic Circuit Simulation - A Neural Network Approach. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Youngbin Kim, Kyoungwoo Lee, Aviral Shrivastava Static Function Prefetching for Efficient Code Management on Scratchpad Memory. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohammad-Mahdi Bidmeshki, Kiruba Sankaran Subramani, Yiorgos Makris Revisiting Capacitor-Based Trojan Design. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Georgios Zacharopoulos, Lorenzo Ferretti, Giovanni Ansaloni, Giuseppe Di Guglielmo, Luca P. Carloni, Laura Pozzi Compiler-Assisted Selection of Hardware Acceleration Candidates from Application Source Code. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ling-Yen Song, Yi-Ling Chen 0005, Yung-Chun Lei, Juinn-Dar Huang Forecast-Based Sample Preparation Algorithm for Unbalanced Splitting Correction on DMFBs. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Raj Kumar Choudhary, Newton Singh, Harideep Nair, Rishabh Rawat, Virendra Singh Freeflow Core: Enhancing Performance of In-Order Cores with Energy Efficiency. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ming-Chang Lee, Li-Pin Chang, Sung-Ming Wu, Wei-Shang Yui Adaptive Write Interference Management with Efficient Mapping for Shingled Recording Disks. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Patrick Cronin, Charles Gouert, Dimitris Mouris, Nektarios Georgios Tsoutsos, Chengmo Yang Covert Data Exfiltration Using Light and Power Channels. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yunfan Li 0002, Drew Penney, Abhishek Ramamurthy, Lizhong Chen Characterizing On-Chip Traffic Patterns in General-Purpose GPUs: A Deep Learning Approach. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Donghyun Kang, Jintaek Kang, Hyungdal Kwon, Hyunsik Park, Soonhoi Ha A Novel Convolutional Neural Network Accelerator That Enables Fully-Pipelined Execution of Layers. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cheng Tan 0002, Yanghui Ou, Shunning Jiang, Peitian Pan, Christopher Torng, Shady Agwa, Christopher Batten PyOCN: A Unified Framework for Modeling, Testing, and Evaluating On-Chip Networks. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shenggang Chen, Zhonghai Lu Hardware Acceleration of Multilayer Perceptron Based on Inter-Layer Optimization. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ning Lin, Hang Lu, Jingliang Gao, Shunjie Qiao, Xiaowei Li 0001 VNet: A Versatile Network for Efficient Real-Time Semantic Segmentation. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hengyi Liang, Zhilu Wang, Debayan Roy, Soumyajit Dey, Samarjit Chakraborty, Qi Zhu 0002 Security-Driven Codesign with Weakly-Hard Constraints for Real-Time Embedded Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qicheng Huang, Chenlei Fang, Zeye Liu 0001, Ruizhou Ding, R. D. Shawn Blanton IPSA: Integer Programming via Sparse Approximation for Efficient Test-Chip Design. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chiou-Yng Lee, Jiafeng Xie Efficient Scalable Three Operand Multiplier Over GF(2^m) Based on Novel Decomposition Strategy. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Prashanth Krishnamurthy, Hossein Salehghaffari, Shiva Duraisamy, Ramesh Karri, Farshad Khorrami Stealthy Rootkits in Smart Grid Controllers. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Maodi Ma, Jingweijia Tan, Xiaohui Wei, Kaige Yan Process Variation Mitigation on Convolutional Neural Network Accelerator Architecture. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Inga Abel, Maximilian Neuner, Helmut Graeb Constraint-Programmed Initial Sizing of Analog Operational Amplifiers. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tianming Jiang, Jiangfeng Zeng, Ke Zhou 0001, Ping Huang 0001, Tianming Yang Lifelong Disk Failure Prediction via GAN-Based Anomaly Detection. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shahin Nazarian, Arash Fayyazi, Massoud Pedram qCG: A Low-Power Multi-Domain SFQ Logic Design and Verification Framework. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Behnam Khaleghi, Sahand Salamat, Mohsen Imani, Tajana Rosing FPGA Energy Efficiency by Leveraging Thermal Margin. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ruizhe Cai, Olivia Chen, Ao Ren, Ning Liu 0007, Nobuyuki Yoshikawa, Yanzhi Wang A Buffer and Splitter Insertion Framework for Adiabatic Quantum-Flux-Parametron Superconducting Circuits. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shivani Tripathy, Debiprasanna Sahoo, Manoranjan Satpathy, Srinivas Pinisetty Formal Modeling and Verification of NAND Flash Memory Supporting Advanced Operations. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sujoy Sinha Roy SaberX4: High-Throughput Software Implementation of Saber Key Encapsulation Mechanism. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ankit Wagle, Gian Singh, Jinghua Yang, Sunil P. Khatri, Sarma B. K. Vrudhula Threshold Logic in a Flash. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Maxime Montoya, Thomas Hiscock, Simone Bacles-Min, Anca Molnos, Jacques Fournier Adaptive Masking: a Dynamic Trade-off between Energy Consumption and Hardware Security. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jianqi Chen, Benjamin Carrión Schäfer Exploiting the Benefits of High-Level Synthesis for Thermal-Aware VLSI Design. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Avishek Choudhury, Biplab K. Sikdar Soft Error Resilience in Chip Multiprocessor Cache using a Markov Model Based Re-usability Predictor. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shaswot Shresthamali, Masaaki Kondo, Hiroshi Nakamura Power Management of Wireless Sensor Nodes with Coordinated Distributed Reinforcement Learning. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Debiprasanna Sahoo, Shivani Tripathy, Manoranjan Satpathy, Madhu Mutyam Post-Model Validation of Victim DRAM Caches. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Matina Maria Trompouki, Leonidas Kosmidis BRASIL: A High-Integrity GPGPU Toolchain for Automotive Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Vinson Young, Moinuddin K. Qureshi To Update or Not To Update?: Bandwidth-Efficient Intelligent Replacement Policies for DRAM Caches. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Timon Evenblij, Christian Tenllado, Manu Perumkunnil, Francky Catthoor, Sushil Sakhare, Peter Debacker, Gouri Sankar Kar, Arnaud Furnémont, Nicolas Bueno, José Ignacio Gómez Pérez A Comparative Analysis on the Impact of Bank Contention in STT-MRAM and SRAM Based LLCs. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bing Wu 0001, Dan Feng 0001, Wei Tong 0001, Jingning Liu, Chengning Wang, Wei Zhao 0034, Mengye Peng ReRAM Crossbar-Based Analog Computing Architecture for Naive Bayesian Engine. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hsuan-Kung Yang, Tsu-Jui Fu, Po-Han Chiang, Kuan-Wei Ho, Chun-Yi Lee A Distributed Scheme for Accelerating Semantic Video Segmentation on An Embedded Cluster. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wen Li, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 RRAMedy: Protecting ReRAM-Based Neural Network from Permanent and Soft Faults During Its Lifetime. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Young Seo Lee, Kyung Min Kim, Ji Heon Lee, Jeong Hwan Choi, Sung Woo Chung A High-Performance Processing-in-Memory Accelerator for Inline Data Deduplication. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yi Zhang 0056, Zhanwei Ling, Ran Cui, Mingsong Lv, Nan Guan, Qingxu Deng Detecting and Predicting Performance Degradation Caused by Impaired Cache Isolation. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohammed Salman Ahmed 0001, Zia Abbas A Memetic Algorithm Based PVT Variation-Aware Robust Transistor Sizing Scheme for Power-Delay Optimal Digital Standard Cell Design. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Guy Maor, Xiaoming Zeng, Zhendong Wang, Yang Hu 0001 An FPGA Implementation of Stochastic Computing-Based LSTM. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Farah Naz Taher, Anjana Balachandran, Benjamin Carrión Schäfer Learning-Based Diversity Estimation: Leveraging the Power of High-Level Synthesis to Mitigate Common-Mode Failure. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ilias Giechaskiel, Kasper Rasmussen, Jakub Szefer Reading Between the Dies: Cross-SLR Covert Channels on Multi-Tenant Cloud FPGAs. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jianqi Chen, Benjamin Carrión Schäfer Low Power Design through Frequency-Optimized Runtime Micro-Architectural Adaptation. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1HeeJong Park 0001, Arvind Easwaran, Sidharta Andalam TiLA: Twin-in-the-Loop Architecture for Cyber-Physical Production Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kleovoulos Kalaitzidis, André Seznec Value Speculation through Equality Prediction. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yongjian Li, Jialun Cao, Jun Pang 0001 A Learning-Based Framework for Automatic Parameterized Verification. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hamid Nejatollahi, Rosario Cammarota, Nikil D. Dutt Flexible NTT Accelerators for RLWE Lattice-Based Cryptography. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Vinson Young, Zeshan A. Chishti, Moinuddin K. Qureshi TicToc: Enabling Bandwidth-Efficient DRAM Caching for Both Hits and Misses in Hybrid Memory Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gaoming Du, Zhenwen Yang, Zhenmin Li, Duoli Zhang, Yongsheng Yin, Zhonghai Lu NR-MPA: Non-Recovery Compression Based Multi-Path Packet-Connected-Circuit Architecture of Convolution Neural Networks Accelerator. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jingquan Ge, Neng Gao, Chenyang Tu, Ji Xiang, Zeyi Liu AdapTimer: Hardware/Software Collaborative Timer Resistant to Flush-Based Cache Attacks on ARM-FPGA Embedded SoC. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaochen Hao, Mingsong Lv, Jiesheng Zheng, Zhengkui Zhang, Wang Yi 0001 Integrating Cyber-Attack Defense Techniques into Real-Time Cyber-Physical Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Saranyu Chattopadhyay, Rajat Subhra Chakraborty Cyclic Beneš Network Based Logic Encryption for Mitigating SAT-Based Attacks. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jinting Ren, Xianzhang Chen, Yujuan Tan, Duo Liu, Moming Duan, Liang Liang 0002, Lei Qiao Archivist: A Machine Learning Assisted Data Placement Mechanism for Hybrid Storage Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuezhi Che, Yuan Hong, Rujia Wang Imbalance-Aware Scheduler for Fast and Secure Ring ORAM Data Retrieval. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuhai Cao, Chao Li 0009, Quan Chen 0002, Jingwen Leng, Minyi Guo, Jing Wang 0055, Weigong Zhang DR DRAM: Accelerating Memory-Read-Intensive Applications. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xiaofeng Hou, Luoyao Hao, Chao Li 0009, Quan Chen 0002, Wenli Zheng, Minyi Guo Power Grab in Aggressively Provisioned Data Centers: What is the Risk and What Can Be Done About It. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vignyan Reddy Kothinti Naresh, Rami Sheikh, Arthur Perais, Harold W. Cain SPF: Selective Pipeline Flush. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhongyuan Tian, Haoran Li 0002, Rafael Kioji Vivas Maeda, Jun Feng 0008, Jiang Xu 0001 Decentralized Collaborative Power Management through Multi-Device Knowledge Sharing. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhengyu Chen 0002, Hai Zhou 0001, Jie Gu 0001 R-Accelerator: A Reconfigurable Accelerator with RRAM Based Logic Contraction and Resource Optimization for Application Specific Computing. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hongyan Xia, Jonathan Woodruff, Hadrien Barral, Lawrence Esswood, Alexandre Joannou, Robert Kovacsics, David Chisnall, Michael Roe, Brooks Davis, Edward Napierala, John Baldwin, Khilan Gudka, Peter G. Neumann, Alexander Richardson, Simon W. Moore, Robert N. M. Watson CheriRTOS: A Capability Model for Embedded Devices. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rohit Chaurasiya, John L. Gustafson, Rahul Shrestha, Jonathan Neudorfer, Sangeeth Nambiar, Kaustav Niyogi, Farhad Merchant, Rainer Leupers Parameterized Posit Arithmetic Hardware Generator. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Baogang Zhang, Rickard Ewetz Software and Hardware Techniques for Reducing the Impact of Quantization Errors in Memristor Crossbar Arrays. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chuanwen Wang, Diansen Sun, Yunpeng Chai, Fang Zhou Enabling Accurate Performance Isolation on Hybrid Storage Devices in Cloud Environment. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shuo Li 0007, Zhiguang Chen, Nong Xiao, Guangyu Sun 0003 Path Prefetching: Accelerating Index Searches for In-Memory Databases. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Joel Mandebi Mbongue, Festus Hategekimana, Danielle Tchuinkou Kwadjo, Christophe Bobda FPGA Virtualization in Cloud-Based Infrastructures Over Virtio. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Doowon Lee, Opeoluwa Matthews, Valeria Bertacco Low-Overhead Microarchitectural Patching for Multicore Memory Subsystems. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohamed A. Neggaz, Ihsen Alouani, Pablo R. Lorenzo, Smaïl Niar A Reliability Study on CNNs for Critical Embedded Systems. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kyuin Lee, Vijay Raghunathan, Anand Raghunathan, Younghyun Kim 0001 SYNCVIBE: Fast and Secure Device Pairing through Physical Vibration on Commodity Smartphones. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wenjian He, Wei Zhang 0012, Sanjeev Das, Yang Liu 0003 SGXlinger: A New Side-Channel Attack Vector Based on Interrupt Latency Against Enclave Execution. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrew J. Douglass, Sunil P. Khatri Synchronization of Ring-Based Resonant Standing Wave Oscillators for 3D Clocking Applications. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Halit Dogan, Masab Ahmad, José A. Joao, Omer Khan Accelerating Synchronization in Graph Analytics Using Moving Compute to Data Model on Tilera TILE-Gx72. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jeremie S. Kim, Minesh Patel, Hasan Hassan, Onur Mutlu Solar-DRAM: Reducing DRAM Access Latency by Exploiting the Variation in Local Bitlines. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yuechen Chen, Md Farhadur Reza, Ahmed Louri DEC-NoC: An Approximate Framework Based on Dynamic Error Control with Applications to Energy-Efficient NoCs. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ke Zhou 0001, Yu Zhang 0101, Ping Huang 0001, Hua Wang 0008, Yongguang Ji, Bin Cheng, Ying Liu LEA: A Lazy Eviction Algorithm for SSD Cache in Cloud Block Storage. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 3576 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license