The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for IOLTS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003 (47) 2004 (45) 2005 (68) 2006 (58) 2007 (61) 2008 (60) 2009 (55) 2010 (55) 2011 (58) 2012 (40) 2013 (55) 2014 (48) 2015 (44) 2016 (58) 2017 (61) 2018 (64) 2019 (70) 2020 (46) 2021 (33) 2022 (38) 2023 (36)
Publication types (Num. hits)
article(3) inproceedings(1076) proceedings(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 47 occurrences of 40 keywords

Results
Found 1100 publication records. Showing 1100 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
44Hyunmin Kim, Seokhie Hong, Bart Preneel, Ingrid Verbauwhede Binary decision diagram to design balanced secure logic styles. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Yumin Zhou, Oliver Bringmann 0001, Wolfgang Rosenstiel Flexible in-silicon checking of run-time programmable assertions. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Maha Kooli, Giorgio Di Natale, Alberto Bosio Cache-aware reliability evaluation through LLVM-based analysis and fault injection. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Florian Cacho, Ahmed Benhassain, Souhir Mhira, Ajith Sivadasan, Vincent Huard, P. Cathelin, Vincent Knopik, Abhishek Jain 0003, C. R. Parthasarathy, Lorena Anghel Activity profiling: Review of different solutions to develop reliable and performant design. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Zeinab Mahdavi, Zahra Shirmohammadi, Seyed Ghassem Miremadi ACM: Accurate crosstalk modeling to predict channel delay in Network-on-Chips. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Patryk Skoncej, Felix Mühlbauer, Felix Kubicek, Lukas Schröder, Mario Schölzel Feasibility of software-based repair for program memories. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Jaime Espinosa, Carles Hernández 0001, Jaume Abella 0001 Modeling RTL fault models behavior to increase the confidence on TSIM-based fault injection. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Erol Koser, Walter Stechele Tackling long duration transients in sequential logic. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44Ghislain Takam Tchendjou, Rshdee Alhakim, Emmanuel Simeu, Fritz Lebowsky Evaluation of machine learning algorithms for image quality assessment. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
44 21st IEEE International On-Line Testing Symposium, IOLTS 2015, Halkidiki, Greece, July 6-8, 2015 Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  BibTeX  RDF
44Chiara Sandionigi, Olivier Héron Identifying aging-aware representative paths in processors. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Amr Haggag, Nik Sumikawa, Aamer Shaukat Reliability/yield trade-off in mitigating "no trouble found" field returns. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Daniele Rossi 0001, Vasileios Tenentes, S. Saqib Khursheed, Bashir M. Al-Hashimi BTI and leakage aware dynamic voltage scaling for reliable low power cache memories. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Jing Ye 0001, Yu Hu 0001, Xiaowei Li 0001 OPUF: Obfuscation logic based physical unclonable function. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Adrian Evans, Enrico Costenaro, Arkady Bramnik Flip-flop SEU reduction through minimization of the temporal vulnerability factor (TVF). Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Zissis Poulos, Andreas G. Veneris Mining simulation metrics for failure triage in regression testing. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Anzhela Yu. Matrosova, Eugeniy Mitrofanov, Toral Shah Simplification of fully delay testable combinational circuits. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Ijeoma Anarado, Yiannis Andreopoulos Mitigation of fail-stop failures in integer matrix products via numerical packing. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Miho Ueno, Masanori Hashimoto, Takao Onoye Real-time on-chip supply voltage sensor and its application to trace-based timing error localization. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Jaime Espinosa, Carles Hernández 0001, Jaume Abella 0001 Characterizing fault propagation in safety-critical processor designs. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Alessandro Vallero, Alessandro Savino, Sotiris Tselonis, Nikos Foutris, Manolis Kaliorakis, Gianfranco Politano, Dimitris Gizopoulos, Stefano Di Carlo Bayesian network early reliability evaluation analysis for both permanent and transient faults. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Gontran Sion, Yves Blaquière, Yvon Savaria Defect diagnosis algorithms for a field programmable interconnect network embedded in a Very Large Area Integrated Circuit. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Joseph Lenox, Spyros Tragoudas Towards Trojan circuit detection with maximum state transition exploration. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Anis Souari, Claude Thibeault, Yves Blaquière, Raoul Velazco Optimization of SEU emulation on SRAM FPGAs based on sensitiveness analysis. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Andreina Zambrano, Hans G. Kerkhoff Fault-tolerant system for catastrophic faults in AMR sensors. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Mehdi Baradaran Tahoori, Abhijit Chatterjee, Krishnendu Chakrabarty, Abhishek Koneru, Arunkumar Vijayan, Debashis Banerjee Self-awareness and self-learning for resiliency in real-time systems. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Nikolaos Eftaxiopoulos-Sarris, Nicholas Axelos, Kiamal Z. Pekmestzi Low leakage radiation tolerant CAM/TCAM cell. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Diane Tchuani Tchakonte, Emmanuel Simeu, Maurice Tchuenté Adaptive healing procedure for lifetime improvement in Wireless Sensor Networks. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Yuta Kimi, Go Matsukawa, Shuhei Yoshida, Shintaro Izumi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto An accurate soft error propagation analysis technique considering temporal masking disablement. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Clement Champeix, Nicolas Borrel, Jean-Max Dutertre, Bruno Robisson, Mathieu Lisart, Alexandre Sarafianos Experimental validation of a Bulk Built-In Current Sensor for detecting laser-induced currents. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Katerina Katsarou, Yiorgos Tsiatouhas Soft error immune latch under SEU related double-node charge collection. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Marc Lacruche, Nicolas Borrel, Clement Champeix, Cyril Roscian, Alexandre Sarafianos, Jean-Baptiste Rigaud, Jean-Max Dutertre, Edith Kussener Laser fault injection into SRAM cells: Picosecond versus nanosecond pulses. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Lake Bu, Mark G. Karpovsky, Zhen Wang 0001 New byte error correcting codes with simple decoding for reliable cache design. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Gurgen Harutunyan, Yervant Zorian An effective embedded test & diagnosis solution for external memories. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Serhiy Avramenko, Stefano Esposito, Massimo Violante, Marco Sozzi, Massimo Traversone, Marco Binello, Marco Terrone An Hybrid Architecture for consolidating mixed criticality applications on multicore systems. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Alexandros Panteloukas, Anastasios Psarras, Chrysostomos Nicopoulos, Giorgos Dimitrakopoulos Timing-resilient Network-on-Chip architectures. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Monir Zaman, Ali Ahmadi, Yiorgos Makris Workload characterization and prediction: A pathway to reliable multi-core systems. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Chang Liu 0010, Michael A. Kochte, Hans-Joachim Wunderlich Efficient observation point selection for aging monitoring. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Tong-Yu Hsieh, Yi-Han Peng Filtering-based error-tolerability evaluation of image processing circuits. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Imran Wali, Arnaud Virazel, Alberto Bosio, Patrick Girard 0001, Matteo Sonza Reorda Design space exploration and optimization of a Hybrid Fault-Tolerant Architecture. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Alexander Schöll, Claus Braun, Michael A. Kochte, Hans-Joachim Wunderlich Efficient on-line fault-tolerance for the preconditioned conjugate gradient method. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Bodhisatwa Mazumdar, Sk Subidh Ali, Ozgur Sinanoglu Power analysis attacks on ARX: An application to Salsa20. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Riccardo Cantoro, Matteo Sonza Reorda, Alireza Rohani, Hans G. Kerkhoff On the maximization of the sustained switching activity in a processor. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Nektarios Kranitis, Antonis Tsigkanos, George Theodorou, Ioannis Sideris, Antonis M. Paschalis A single chip dependable and adaptable payload Data Processing Unit. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Jacob A. Abraham, Ravishankar K. Iyer, Dimitris Gizopoulos, Dan Alexandrescu, Yervant Zorian The future of fault tolerant computing. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Suvadeep Banerjee, Md Imran Momtaz, Abhijit Chatterjee Concurrent error detection in nonlinear digital filters using checksum linearization and residue prediction. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Dan Alexandrescu, Adrian Evans, Enrico Costenaro, Maximilien Glorieux A call for cross-layer and cross-domain reliability analysis and management. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Vasileios Gerakis, Leonidas Katselas, Alkis A. Hatzopoulos Fault modeling and testing of through silicon via interconnections. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Amir Charif, Nacer-Eddine Zergainoh, Michael Nicolaidis MUGEN: A high-performance fault-tolerant routing algorithm for unreliable Networks-on-Chip. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Panagiota Papavramidou, Michael Nicolaidis Low-power memory repair for high defect densities. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Michael A. Skitsas, Chrysostomos Nicopoulos, Maria K. Michael Toward efficient check-pointing and rollback under on-demand SBST in chip multi-processors. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Ghaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria Efficient multilevel formal analysis and estimation of design vulnerability to Single Event Transients. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44Mohammad Ashraful Anam, Yiannis Andreopoulos Failure mitigation in linear, sesquilinear and bijective operations on integer data streams via numerical entanglement. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
44 2014 IEEE 20th International On-Line Testing Symposium, IOLTS 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014 Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  BibTeX  RDF
44Dan Alexandrescu, Nematollah Bidokhti, Andy Yu, Adrian Evans, Enrico Costenaro Managing SER costs of complex systems through Linear Programming. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Kim Petersén, Dimitar Nikolov, Urban Ingelsson, Gunnar Carlsson, Farrokh Ghani Zadegan, Erik Larsson Fault injection and fault handling: An MPSoC demonstrator using IEEE P1687. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Stefano Di Carlo, Giulio Gambardella, Paolo Prinetto, Daniele Rolfo, Pascal Trotta, Alessandro Vallero A novel methodology to increase fault tolerance in autonomous FPGA-based systems. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Tiago A. O. Alves, Sandip Kundu, Leandro A. J. Marzulo, Felipe Maia Galvão França Online error detection and recovery in dataflow execution. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Boyang Du, Matteo Sonza Reorda, Luca Sterpone, Luis Parra, Marta Portela-García, Almudena Lindoso, Luis Entrena A new solution to on-line detection of Control Flow Errors. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Samuel N. Pagliarini, Dhiraj K. Pradhan A placement strategy for reducing the effects of multiple faults in digital circuits. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Christian Badack, Thomas Kern, Michael Gössel Modified DEC BCH codes for parallel correction of 3-bit errors comprising a pair of adjacent errors. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Antonio Sanchez-Clemente, Luis Entrena, Mario García-Valderas Error masking with approximate logic circuits using dynamic probability estimations. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Vanessa Vargas, Pablo Ramos, Wassim Mansour, Raoul Velazco, Nacer-Eddine Zergainoh, Jean-François Méhaut Preliminary results of SEU fault-injection on multicore processors in AMP mode. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Christelle Hobeika, Simon Pichette, M. A. Leonard, Claude Thibeault, Jean-François Boland, Yves Audet Multi-abstraction level signature generation and comparison based on radiation single event upset. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44George Theodorou, Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos Power-aware optimization of software-based self-test for L1 caches in microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Feng Lu, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre Customized cell detector for laser-induced-fault detection. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Arwa Ben Dhia, Mariem Slimani, Lirida A. B. Naviner Comparative study of defect-tolerant multiplexers for FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Gulay Yalcin, Emrah Islek, Oyku Tozlu, Pedro Reviriego, Adrián Cristal, Osman S. Unsal, Oguz Ergin Exploiting a fast and simple ECC for scaling supply voltage in level-1 caches. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Stefano Di Carlo, Alessandro Vallero, Dimitris Gizopoulos, Giorgio Di Natale, Antonio González 0001, Ramon Canal, Riccardo Mariani, M. Pipponzi, Arnaud Grasset, Philippe Bonnot 0001, Frank Reichenbach, Gulzaib Rafiq, Trond Loekstad Cross-layer early reliability evaluation: Challenges and promises. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Hao Xie, Li Chen 0001, Rui Liu 0011, Adrian Evans, Dan Alexandrescu, Shi-Jie Wen, Rick Wong New approaches for synthesis of redundant combinatorial logic for selective fault tolerance. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Nicholas Axelos, Nikolaos Eftaxiopoulos-Sarris, Georgios Zervakis 0001, Kostas Tsoumanis, Kiamal Z. Pekmestzi FF-DICE: An 8T soft-error tolerant cell using Independent Dual Gate SOI FinFETs. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Antonis M. Paschalis, Harald Michalik, Nektarios Kranitis, Celia López-Ongil, Pedro Reviriego Vasallo Dependable reconfigurable space systems: Challenges, new trends and case studies. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Sébastien Sarrazin, Samuel Evain, Ivan Miro Panades, Lirida Alves de Barros Naviner, Valentin Gherman Flip-flop selection for in-situ slack-time monitoring based on the activation probability of timing-critical paths. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Samuel N. Pagliarini, Lirida A. B. Naviner, Jean-François Naviner, Dhiraj K. Pradhan A hybrid reliability assessment method and its support of sequential logic modelling. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Jeff Tikkanen, Nik Sumikawa, Li-C. Wang, Magdy S. Abadir Multivariate outlier modeling for capturing customer returns - How simple it can be. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Anna Vaskova, Marta Portela-García, Mario García-Valderas, Celia López-Ongil, Matteo Sonza Reorda Permanent faults on LIN networks: On-line test generation. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Nasim Pour Aryan, A. Listl, Leonhard Heiß, Cenk Yilmaz, Georg Georgakos, Doris Schmitt-Landsiedel From an analytic NBTI device model to reliability assessment of complex digital circuits. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Prakash Narayanan, Satish Ravichandran, Balaji Ramayanam Novel self-test methods to reduce on-chip memory requirements and improved test coverage. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Phaninder Alladi, Spyros Tragoudas Aging-aware critical paths in deep submicron. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Loic Welter, Philippe Dreux, Hassen Aziza, Jean-Michel Portal An innovative standard cells remapping method for in-circuit critical parameters monitoring. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44David May 0003, Walter Stechele Improving the significance of probabilistic circuit fault emulations. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Wassim Mansour, Miguel A. Aguirre, Hipólito Guzmán-Miranda, Javier Barrientos Rojas, Raoul Velazco Two complementary approaches for studying the effects of SEUs on HDL-based designs. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Sophie Dupuis, Papa-Sidi Ba, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre A novel hardware logic encryption technique for thwarting illegal overproduction and Hardware Trojans. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Luca Cassano, Hipólito Guzmán-Miranda, Miguel A. Aguirre Early assessment of SEU sensitivity through untestable fault identification. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras Pre-bond testing of weak defects in TSVs. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Raghavan Kumar, Philipp Jovanovic, Ilia Polian Precise fault-injections using voltage and temperature manipulation for differential cryptanalysis. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Álvaro Gómez-Pau, Suvadeep Banerjee, Abhijit Chatterjee Real-time transient error and induced noise cancellation in linear analog filters using learning-assisted adaptive analog checksums. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Nikos Foutris, Manolis Kaliorakis, Sotiris Tselonis, Dimitris Gizopoulos Versatile architecture-level fault injection framework for reliability evaluation: A first report. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Honorio Martín, Anna Vaskova, Celia López-Ongil, Enrique San Millán, Marta Portela-García Effect of ionizing radiation on TRNGs for safe telecommunications: Robustness and randomness. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Katerina Katsarou, Yiorgos Tsiatouhas Double node charge sharing SEU tolerant latch design. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Sebastian Müller 0005, Tobias Koal, Mario Schölzel, Heinrich Theodor Vierhaus Timing for virtual TMR in logic circuits. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Saif-Ur Rehman, Mounir Benabdenbi, Lorena Anghel Cost-efficient of a cluster in a mesh SRAM-based FPGA. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Atefe Dalirsani, Michael A. Kochte, Hans-Joachim Wunderlich Area-efficient synthesis of fault-secure NoC switches. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Martin Andraud, Anthony Deluthault, Mouhamadou Dieng, Florence Azaïs, Serge Bernard, Philippe Cauvet, Mariane Comte, Thibault Kervaon, Vincent Kerzerho, Salvador Mir, Paul-Henri Pugliesi-Conti, Michel Renovell, Fabien Soulier, Emmanuel Simeu, Haralampos-G. D. Stratigopoulos Solutions for the self-adaptation of communicating systems in operation. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Gaurang Upasani, Xavier Vera, Antonio González 0001 Framework for economical error recovery in embedded cores. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Marco Desogus, Luca Sterpone, David Merodio Codinachs Validation of a tool for estimating the effects of soft-errors on modern SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Michael Frischke, Andreas J. Rohatschek, Walter Stechele Towards low-cost fault detection strategy of FPGA configuration memory in real-time systems. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44M. De Carvalho, Davide Sabena, Matteo Sonza Reorda, Luca Sterpone, Paolo Rech, Luigi Carro Fault injection in GPGPU cores to validate and debug robust parallel applications. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Milos Krstic, Stefan Weidling, Vladimir Petrovic, Michael Gössel Improved circuitry for soft error correction in combinational logic in pipelined designs. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
44Yukiya Miura, Yoshihiro Ohkawa A noise-tolerant master-slave flip-flop. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1100 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license