|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1797 occurrences of 735 keywords
|
|
|
Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Majed Valad Beigi, Gokhan Memik |
Therma: Thermal-aware Run-time Thread Migration for Nanophotonic Interconnects. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Byung-Hoon Lee, Young-Jin Kim 0002 |
Dynamic Voltage Scaling Using Scene Change Detection for Video Playback on Mobile AMOLED Displays. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Zheng Li, Xiuyuan Bi, Hai (Helen) Li, Yiran Chen 0001, Jianying Qin, Peng Guo, Wenjie Kong, Wenshan Zhan, Xiufeng Han, Hong Zhang, Lingling Wang, Guanping Wu, Hanming Wu |
Design and Implementation of a 4Kb STT-MRAM with Innovative 200nm Nano-ring Shaped MTJ. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Hongxiang Gu, Teng Xu 0001, Miodrag Potkonjak |
An Energy-Efficient PUF Design: Computing While Racing. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Arun Joseph, Spandana Rachamalla, Rahul M. Rao, Anand Haridass, Pradeep Kumar Nalla |
FVCAG: A framework for formal verification driven power modeling and verification. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Chih-Hsun Chou, Daniel Wong 0001, Laxmi N. Bhuyan |
DynSleep: Fine-grained Power Management for a Latency-Critical Data Center Application. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Herman Schmit, Randy Huang |
Dissecting Xeon + FPGA: Why the integration of CPUs and FPGAs makes a power difference for the datacenter: Invited Paper. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ali Najafi, Jacques Christophe Rudell, Visvesh Sathe 0001 |
Regenerative Breaking: Recovering Stored Energy from Inactive Voltage Domains for Energy-efficient Systems-on-Chip. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Taejoon Song, Daniel Lo, G. Edward Suh |
Prediction-Guided Performance-Energy Trade-off with Continuous Run-Time Adaptation. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | |
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, ISLPED 2016, San Francisco Airport, CA, USA, August 08 - 10, 2016 |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Mohsen Imani, Yeseong Kim, Abbas Rahimi, Tajana Rosing |
ACAM: Approximate Computing Based on Adaptive Associative Memory with Online Learning. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Sandeep Kumar Samal, Deepak Nayak, Motoi Ichihashi, Srinivasa Banna, Sung Kyu Lim |
How to Cope with Slow Transistors in the Top-tier of Monolithic 3D ICs: Design Studies and CAD Solutions. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Monodeep Kar, Arvind Singh, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay |
Exploiting Fully Integrated Inductive Voltage Regulators to Improve Side Channel Resistance of Encryption Engines. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | William J. Song, Alper Buyuktosunoglu, Chen-Yong Cher, Pradip Bose |
Measurement-Driven Methodology for Evaluating Processor Heterogeneity Options for Power-Performance Efficiency. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Tongda Wu, Yongpan Liu, Hehe Li, Chun Jason Xue, Hyung Gyu Lee, Huazhong Yang |
SATS: An Ultra-Low Power Time Synchronization for Solar Energy Harvesting WSNs. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Ting Wang 0008, Qian Zhang 0020, Nam Sung Kim, Qiang Xu 0001 |
On Effective and Efficient Quality Management for Approximate Computing. |
ISLPED |
2016 |
DBLP DOI BibTeX RDF |
|
1 | Jae-Yeon Won, Paul Gratz, Srinivas Shakkottai, Jiang Hu |
Having your cake and eating it too: Energy savings without performance loss through resource sharing driven power management. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Naifeng Jing, Shuang Chen 0002, Shunning Jiang, Li Jiang 0002, Chao Li 0009, Xiaoyao Liang |
Bank stealing for conflict mitigation in GPGPU Register File. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Visvesh S. Sathe 0001, Jae-sun Seo |
Analysis and optimization of CMOS switched-capacitor converters. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Shidhartha Das, Paul N. Whatmough, David M. Bull |
Modeling and characterization of the system-level Power Delivery Network for a dual-core ARM Cortex-A57 cluster in 28nm CMOS. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Amir-Mohammad Rahmani, Mohammad Hashem Haghbayan, Anil Kanduri, Awet Yemane Weldezion, Pasi Liljeberg, Juha Plosila, Axel Jantsch, Hannu Tenhunen |
Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Wen Yueh, Zhimin Wan, Yogendra Joshi, Saibal Mukhopadhyay |
Experimental characterization of in-package microfluidic cooling on a System-on-Chip. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Arvind Singh, Monodeep Kar, Jong Hwan Ko, Saibal Mukhopadhyay |
Exploring power attack protection of resource constrained encryption engines using integrated low-drop-out regulators. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Seyedhamidreza Motaman, Swaroop Ghosh, Jaydeep P. Kulkarni |
A novel slope detection technique for robust STTRAM sensing. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Shuangchen Li, Ang Li 0005, Yuan Zhe, Yongpan Liu, Peng Li 0001, Guangyu Sun 0003, Yu Wang 0002, Huazhong Yang, Yuan Xie 0001 |
Leveraging emerging nonvolatile memory in high-level synthesis with loop transformations. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Mohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Florian Kriebel, Muhammad Shafique 0001, Alireza Ejlali, Jörg Henkel |
DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Xiangyu Wu, Yuanfang Xia, Naifeng Jing, Xiaoyao Liang |
CGSharing: Efficient content sharing in GPU-based cloud gaming. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Naresh R. Shanbhag |
Statistical information processing: Computing for the nanoscale era. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Hayate Okuhara, Kuniaki Kitamori, Yu Fujita, Kimiyoshi Usami, Hideharu Amano |
An optimal power supply and body bias voltage for a ultra low power micro-controller with silicon on thin box MOSFET. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Rekha Govindaraj, Swaroop Ghosh |
Design and analysis of 6-T 2-MTJ ternary Content Addressable Memory. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jose Pineda de Jyvez |
Opportunities in system power management for high performance mixed signal platforms. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Mengbai Xiao, Yao Liu 0001, Lei Guo 0004, Songqing Chen |
Reducing display power consumption for real-time video calls on mobile devices. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Hussam Amrouch, Jörg Henkel |
Lucid infrared thermography of thermally-constrained processors. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Liang Wang 0055, Augusto Vega, Alper Buyuktosunoglu, Pradip Bose, Kevin Skadron |
Power-efficient embedded processing with resilience and real-time constraints. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Alberto L. Sangiovanni-Vincentelli |
Let's get physical: Adding physical dimensions to cyber systems. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Anup Das 0001, Matthew J. Walker, Andreas Hansson 0001, Bashir M. Al-Hashimi, Geoff V. Merrett |
Hardware-software interaction for run-time power optimization: A case study of embedded Linux on multicore smartphones. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Hao He, Jiafan Wang 0002, Jiang Hu |
Collaborative gate implementation selection and adaptivity assignment for robust combinational circuits. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Chih-Kai Kang, Chun-Han Lin, Pi-Cheng Hsiu |
A win-win camera: Quality-enhanced power-saving images on mobile OLED displays. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Arman Iranfar, Soheil Nazar Shahsavani, Mehdi Kamal, Ali Afzali-Kusha |
A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCs. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Ruchir Puri, Vijay Raghunathan |
Message from the program chairs. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | David May 0003, Walter Stechele |
Design of fine-grained sequential approximate circuits using probability-aware fault emulation. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Chi-Hsuan Lin, Yu-Ming Chang, Pi-Cheng Hsiu, Yuan-Hao Chang 0001 |
Energy stealing - an exploration into unperceived activities on mobile systems. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Fulya Kaplan, Ayse K. Coskun |
Adaptive sprinting: How to get the most out of Phase Change based passive cooling. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Teng Xu 0001, Miodrag Potkonjak |
The digital bidirectional function as a hardware security primitive: Architecture and applications. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Arun Joseph, Anand Haridass, Charles Lefurgy, Sreekanth Pai, Spandana Rachamalla, Francesco Campisano |
FreqLeak: A frequency step based method for efficient leakage power characterization in a system. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Kyungwook Chang, Kartik Acharya, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim |
Power benefit study of monolithic 3D IC at the 7nm technology node. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Donghwa Shin, Naehyuck Chang, Yanzhi Wang, Massoud Pedram |
Reconfigurable three dimensional photovoltaic panel architecture for solar-powered time extension. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Sriram Jayakumar, Sherief Reda |
Making sense of thermoelectrics for processor thermal management and energy harvesting. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yuhao Wang 0002, Xin Li 0001, Hao Yu 0001, Leibin Ni, Wei Yang, Chuliang Weng, Junfeng Zhao 0003 |
Optimizing Boolean embedding matrix for compressive sensing in RRAM crossbar. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Shibo Wang, Yanwei Song, Mahdi Nazm Bojnordi, Engin Ipek |
Enabling energy efficient Hybrid Memory Cube systems with erasure codes. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Chun-Hao Lai, Shun-Chih Yu, Chia-Lin Yang, Hsiang-Pang Li |
Fine-grained write scheduling for PCM performance improvement under write power budget. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Runjie Zhang, Kaushik Mazumdar, Brett H. Meyer, Ke Wang 0011, Kevin Skadron, Mircea R. Stan |
Transient voltage noise in charge-recycled power delivery networks for many-layer 3D-IC. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Bert Moons, Marian Verhelst |
DVAS: Dynamic Voltage Accuracy Scaling for increased energy-efficiency in approximate computing. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Wooseok Lee, Youngchun Kim, Jee Ho Ryoo, Dam Sunwoo, Andreas Gerstlauer, Lizy K. John |
PowerTrain: A learning-based calibration of McPAT power models. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Ahmedullah Aziz, Nikhil Shukla, Suman Datta, Sumeet Kumar Gupta |
COAST: Correlated material assisted STT MRAMs for optimized read operation. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Georgios Zervakis 0001, Sotirios Xydis, Kostas Tsoumanis, Dimitrios Soudris, Kiamal Z. Pekmestzi |
Hybrid approximate multiplier architectures for improved power-accuracy trade-offs. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Biruk Mammo, Ritesh Parikh, Valeria Bertacco |
ReDEEM: A heterogeneous distributed microarchitecture for energy-efficient reliability. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Tanguy Sassolas, Chiara Sandionigi, Alexandre Guerre, Julien Mottin, Pascal Vivet, Hela Boussetta, Nicolas Peltier |
A simulation framework for rapid prototyping and evaluation of thermal mitigation techniques in many-core architectures. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | |
IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2015, Rome, Italy, July 22-24, 2015 |
ISLPED |
2015 |
DBLP BibTeX RDF |
|
1 | Muhammad Usman Karim Khan, Muhammad Shafique 0001, Jörg Henkel |
Hierarchical power budgeting for Dark Silicon chips. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Wei Zhang 0044, Hang Zhang 0031, John C. Lach |
Reducing dynamic energy of set-associative L1 instruction cache by early tag lookup. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Mohammad Sadrosadati, Amirhossein Mirhosseini, Homa Aghilinasab, Hamid Sarbazi-Azad |
An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocators. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Ankush Varma, William J. Bowhill, Jason Crop, Corey Gough, Brian Griffith, Dan Kingsley, Krishna Sistla |
Power management in the Intel Xeon E5 v3. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Paul N. Whatmough, Shidhartha Das, David M. Bull |
Analysis of adaptive clocking technique for resonant supply voltage noise mitigation. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Byungkyu Song, Taehui Na, Seong-Ook Jung, Jung Pill Kim, Seung-Hyuk Kang |
Reference-circuit analysis for high-bandwidth spin transfer torque random access memory. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Cheng Li 0011, Paul Ampadu |
A compact low-power eDRAM-based NoC buffer. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yuanchun Li, Yao Guo 0001, Junjun Kong, Xiangqun Chen |
Fixing sensor-related energy bugs through automated sensing policy instrumentation. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yu-Ting Chen, Jason Cong |
Interconnect synthesis of heterogeneous accelerators in a shared memory architecture. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Luca Benini, Renu Mehra, Mauro Olivieri |
Message from the general chairs. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Beinuo Zhang, Zhewei Jiang, Qi Wang, Jae-sun Seo, Mingoo Seok |
A neuromorphic neural spike clustering processor for deep-brain sensing and stimulation systems. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jun Luan, Seung Jae Lee 0001, Pai H. Chou |
Low-power detection of sternocleidomastoid muscle contraction for asthma assessment and control. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Aldo Romani, Antonio Camarda, Alessio Baldazzi, Marco Tartagni |
A micropower energy harvesting circuit with piezoelectric transformer-based ultra-low voltage start-up. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Woojoo Lee, Yanzhi Wang, Donghwa Shin, Shahin Nazarian, Massoud Pedram |
Design and optimization of a reconfigurable power delivery network for large-area, DVS-enabled OLED displays. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jaeha Kung, Duckhwan Kim 0001, Saibal Mukhopadhyay |
A power-aware digital feedforward neural network platform with backpropagation driven approximate synapses. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Sankalp Jain, Harshad Navale, Ümit Y. Ogras, Siddharth Garg |
Energy efficient scheduling for web search on heterogeneous microservers. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Rajesh Jayashankara Shridevi, Dean Michael Ancajas, Koushik Chakraborty, Sanghamitra Roy |
Tackling voltage emergencies in NoC through timing error resilience. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yuan Liang, Hao Yu 0001, Junfeng Zhao 0003, Wei Yang, Yuangang Wang |
An energy efficient and low cross-talk CMOS sub-THz I/O with surface-wave modulator and interconnect. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Anuj Pathania, Santiago Pagani, Muhammad Shafique 0001, Jörg Henkel |
Power management for mobile games on asymmetric multi-cores. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Korosh Vatanparvar, Jiang Wan, Mohammad Abdullah Al Faruque |
Battery-aware energy-optimal Electric Vehicle driving management. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jun-Ho Choy, Valeriy Sukharev, Armen Kteyan, Henrik Hovsepyan, Ramnath Venkatraman, Ruggero Castagnetti |
Post placement leakage reduction with stress-enhanced filler cells. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Xiaoyang Mi, Debashis Mandal, Visvesh S. Sathe 0001, Bertan Bakkaloglu, Jae-sun Seo |
Fully-integrated switched-capacitor voltage regulator with on-chip current-sensing and workload optimization in 32nm SOI CMOS. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Mohammad Javad Dousti, Majid Ghasemi-Gol, Mahdi Nazemi, Massoud Pedram |
ThermTap: An online power analyzer and thermal simulator for Android devices. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Hoeseok Yang, Soonhoi Ha |
Modeling and power optimization of cyber-physical systems with energy-workload tradeoff. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Cagla Cakir, Ron Ho, Jon K. Lexau, Ken Mai |
High-efficiency crossbar switches using capacitively coupled signaling. |
ISLPED |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Ping Chi, Wang-Chien Lee, Yuan Xie 0001 |
Making B+-tree efficient in PCM-based main memory. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Philippe Flatresse |
Process and design solutions for exploiting FD-SOI technology towards energy efficient SOCs. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Borislav Alexandrov, Khondker Z. Ahmed, Saibal Mukhopadhyay |
An on-chip autonomous thermoelectric energy management system for energy-efficient active cooling. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Caleb Serafy, Ankur Srivastava 0001, Donald Yeung |
Unlocking the true potential of 3D CPUs with micro-fluidic cooling. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Hoda Aghaei Khouzani, Yuan Xue, Chengmo Yang, Archana Pandurangi |
Prolonging PCM lifetime through energy-efficient, segment-aware, and wear-resistant page allocation. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Huichu Liu, Mahsa Shoaran, Xueqing Li, Suman Datta, Alexandre Schmid, Vijaykrishnan Narayanan |
Tunnel FET-based ultra-low power, low-noise amplifier design for bio-signal acquisition. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Daniele Bortolotti, Hossein Mamaghanian, Andrea Bartolini, Maryam Ashouei, Jan Stuijt, David Atienza, Pierre Vandergheynst, Luca Benini |
Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Kangho Lee, Jimmy J. Kan, Seung H. Kang |
Unified embedded non-volatile memory for emerging mobile markets. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Oscar E. Mattia, Hamilton Klimach, Sergio Bampi |
2.3 ppm/°c 40 nW MOSFET-only voltage reference. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Monodeep Kar, Sergio Carlo, Harish Kumar Krishnamurthy, Saibal Mukhopadhyay |
Impact of process variation in inductive integrated voltage regulator on delay and power of digital circuits. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Behnam Sedighi, N. Prasanth Anthapadmanabhan, Dusan Suvakovic |
Timing errors in LDPC decoding computations with overscaled supply voltage. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Visvesh S. Sathe 0001 |
Quasi-resonant clocking: a run-time control approach for true voltage-frequency-scalability. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Joseph Pusdesris, Benjamin VanderSloot, Trevor N. Mudge |
A memory rename table to reduce energy and improve performance. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Jason Cong |
Accelerator-rich architectures: from single-chip to datacenters. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Ruchir Puri, Mihir R. Choudhury, Haifeng Qian, Matthew M. Ziegler |
Bridging high performance and low power in processor design. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
1 | Chung-Wei Lin, Tzu-Hsuan Hsu, Xin-Wei Shih, Yao-Wen Chang |
Buffered clock tree synthesis considering self-heating effects. |
ISLPED |
2014 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 1918 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|