The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISLPED"( http://dblp.L3S.de/Venues/ISLPED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/islped

Publication years (Num. hits)
1996 (74) 1997 (66) 1998 (65) 1999 (57) 2000 (61) 2001 (76) 2002 (67) 2003 (96) 2004 (75) 2005 (76) 2006 (83) 2007 (79) 2008 (80) 2009 (90) 2010 (80) 2011 (78) 2012 (76) 2013 (80) 2014 (75) 2015 (68) 2016 (69) 2017 (64) 2018 (55) 2019 (58) 2020 (43) 2021 (39) 2022 (33) 2023 (55)
Publication types (Num. hits)
inproceedings(1890) proceedings(28)
Venues (Conferences, Journals, ...)
ISLPED(1918)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1797 occurrences of 735 keywords

Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Majed Valad Beigi, Gokhan Memik Therma: Thermal-aware Run-time Thread Migration for Nanophotonic Interconnects. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Byung-Hoon Lee, Young-Jin Kim 0002 Dynamic Voltage Scaling Using Scene Change Detection for Video Playback on Mobile AMOLED Displays. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zheng Li, Xiuyuan Bi, Hai (Helen) Li, Yiran Chen 0001, Jianying Qin, Peng Guo, Wenjie Kong, Wenshan Zhan, Xiufeng Han, Hong Zhang, Lingling Wang, Guanping Wu, Hanming Wu Design and Implementation of a 4Kb STT-MRAM with Innovative 200nm Nano-ring Shaped MTJ. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hongxiang Gu, Teng Xu 0001, Miodrag Potkonjak An Energy-Efficient PUF Design: Computing While Racing. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arun Joseph, Spandana Rachamalla, Rahul M. Rao, Anand Haridass, Pradeep Kumar Nalla FVCAG: A framework for formal verification driven power modeling and verification. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chih-Hsun Chou, Daniel Wong 0001, Laxmi N. Bhuyan DynSleep: Fine-grained Power Management for a Latency-Critical Data Center Application. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Herman Schmit, Randy Huang Dissecting Xeon + FPGA: Why the integration of CPUs and FPGAs makes a power difference for the datacenter: Invited Paper. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ali Najafi, Jacques Christophe Rudell, Visvesh Sathe 0001 Regenerative Breaking: Recovering Stored Energy from Inactive Voltage Domains for Energy-efficient Systems-on-Chip. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Taejoon Song, Daniel Lo, G. Edward Suh Prediction-Guided Performance-Energy Trade-off with Continuous Run-Time Adaptation. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 Proceedings of the 2016 International Symposium on Low Power Electronics and Design, ISLPED 2016, San Francisco Airport, CA, USA, August 08 - 10, 2016 Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Yeseong Kim, Abbas Rahimi, Tajana Rosing ACAM: Approximate Computing Based on Adaptive Associative Memory with Online Learning. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Samal, Deepak Nayak, Motoi Ichihashi, Srinivasa Banna, Sung Kyu Lim How to Cope with Slow Transistors in the Top-tier of Monolithic 3D ICs: Design Studies and CAD Solutions. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Monodeep Kar, Arvind Singh, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay Exploiting Fully Integrated Inductive Voltage Regulators to Improve Side Channel Resistance of Encryption Engines. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1William J. Song, Alper Buyuktosunoglu, Chen-Yong Cher, Pradip Bose Measurement-Driven Methodology for Evaluating Processor Heterogeneity Options for Power-Performance Efficiency. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tongda Wu, Yongpan Liu, Hehe Li, Chun Jason Xue, Hyung Gyu Lee, Huazhong Yang SATS: An Ultra-Low Power Time Synchronization for Solar Energy Harvesting WSNs. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ting Wang 0008, Qian Zhang 0020, Nam Sung Kim, Qiang Xu 0001 On Effective and Efficient Quality Management for Approximate Computing. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jae-Yeon Won, Paul Gratz, Srinivas Shakkottai, Jiang Hu Having your cake and eating it too: Energy savings without performance loss through resource sharing driven power management. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Naifeng Jing, Shuang Chen 0002, Shunning Jiang, Li Jiang 0002, Chao Li 0009, Xiaoyao Liang Bank stealing for conflict mitigation in GPGPU Register File. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Visvesh S. Sathe 0001, Jae-sun Seo Analysis and optimization of CMOS switched-capacitor converters. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shidhartha Das, Paul N. Whatmough, David M. Bull Modeling and characterization of the system-level Power Delivery Network for a dual-core ARM Cortex-A57 cluster in 28nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amir-Mohammad Rahmani, Mohammad Hashem Haghbayan, Anil Kanduri, Awet Yemane Weldezion, Pasi Liljeberg, Juha Plosila, Axel Jantsch, Hannu Tenhunen Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wen Yueh, Zhimin Wan, Yogendra Joshi, Saibal Mukhopadhyay Experimental characterization of in-package microfluidic cooling on a System-on-Chip. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arvind Singh, Monodeep Kar, Jong Hwan Ko, Saibal Mukhopadhyay Exploring power attack protection of resource constrained encryption engines using integrated low-drop-out regulators. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seyedhamidreza Motaman, Swaroop Ghosh, Jaydeep P. Kulkarni A novel slope detection technique for robust STTRAM sensing. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shuangchen Li, Ang Li 0005, Yuan Zhe, Yongpan Liu, Peng Li 0001, Guangyu Sun 0003, Yu Wang 0002, Huazhong Yang, Yuan Xie 0001 Leveraging emerging nonvolatile memory in high-level synthesis with loop transformations. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Florian Kriebel, Muhammad Shafique 0001, Alireza Ejlali, Jörg Henkel DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiangyu Wu, Yuanfang Xia, Naifeng Jing, Xiaoyao Liang CGSharing: Efficient content sharing in GPU-based cloud gaming. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Naresh R. Shanbhag Statistical information processing: Computing for the nanoscale era. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hayate Okuhara, Kuniaki Kitamori, Yu Fujita, Kimiyoshi Usami, Hideharu Amano An optimal power supply and body bias voltage for a ultra low power micro-controller with silicon on thin box MOSFET. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rekha Govindaraj, Swaroop Ghosh Design and analysis of 6-T 2-MTJ ternary Content Addressable Memory. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jose Pineda de Jyvez Opportunities in system power management for high performance mixed signal platforms. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mengbai Xiao, Yao Liu 0001, Lei Guo 0004, Songqing Chen Reducing display power consumption for real-time video calls on mobile devices. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hussam Amrouch, Jörg Henkel Lucid infrared thermography of thermally-constrained processors. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Liang Wang 0055, Augusto Vega, Alper Buyuktosunoglu, Pradip Bose, Kevin Skadron Power-efficient embedded processing with resilience and real-time constraints. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alberto L. Sangiovanni-Vincentelli Let's get physical: Adding physical dimensions to cyber systems. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anup Das 0001, Matthew J. Walker, Andreas Hansson 0001, Bashir M. Al-Hashimi, Geoff V. Merrett Hardware-software interaction for run-time power optimization: A case study of embedded Linux on multicore smartphones. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hao He, Jiafan Wang 0002, Jiang Hu Collaborative gate implementation selection and adaptivity assignment for robust combinational circuits. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chih-Kai Kang, Chun-Han Lin, Pi-Cheng Hsiu A win-win camera: Quality-enhanced power-saving images on mobile OLED displays. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arman Iranfar, Soheil Nazar Shahsavani, Mehdi Kamal, Ali Afzali-Kusha A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCs. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ruchir Puri, Vijay Raghunathan Message from the program chairs. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1David May 0003, Walter Stechele Design of fine-grained sequential approximate circuits using probability-aware fault emulation. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chi-Hsuan Lin, Yu-Ming Chang, Pi-Cheng Hsiu, Yuan-Hao Chang 0001 Energy stealing - an exploration into unperceived activities on mobile systems. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Fulya Kaplan, Ayse K. Coskun Adaptive sprinting: How to get the most out of Phase Change based passive cooling. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Teng Xu 0001, Miodrag Potkonjak The digital bidirectional function as a hardware security primitive: Architecture and applications. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arun Joseph, Anand Haridass, Charles Lefurgy, Sreekanth Pai, Spandana Rachamalla, Francesco Campisano FreqLeak: A frequency step based method for efficient leakage power characterization in a system. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kyungwook Chang, Kartik Acharya, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim Power benefit study of monolithic 3D IC at the 7nm technology node. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Donghwa Shin, Naehyuck Chang, Yanzhi Wang, Massoud Pedram Reconfigurable three dimensional photovoltaic panel architecture for solar-powered time extension. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sriram Jayakumar, Sherief Reda Making sense of thermoelectrics for processor thermal management and energy harvesting. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuhao Wang 0002, Xin Li 0001, Hao Yu 0001, Leibin Ni, Wei Yang, Chuliang Weng, Junfeng Zhao 0003 Optimizing Boolean embedding matrix for compressive sensing in RRAM crossbar. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shibo Wang, Yanwei Song, Mahdi Nazm Bojnordi, Engin Ipek Enabling energy efficient Hybrid Memory Cube systems with erasure codes. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chun-Hao Lai, Shun-Chih Yu, Chia-Lin Yang, Hsiang-Pang Li Fine-grained write scheduling for PCM performance improvement under write power budget. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Runjie Zhang, Kaushik Mazumdar, Brett H. Meyer, Ke Wang 0011, Kevin Skadron, Mircea R. Stan Transient voltage noise in charge-recycled power delivery networks for many-layer 3D-IC. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bert Moons, Marian Verhelst DVAS: Dynamic Voltage Accuracy Scaling for increased energy-efficiency in approximate computing. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wooseok Lee, Youngchun Kim, Jee Ho Ryoo, Dam Sunwoo, Andreas Gerstlauer, Lizy K. John PowerTrain: A learning-based calibration of McPAT power models. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ahmedullah Aziz, Nikhil Shukla, Suman Datta, Sumeet Kumar Gupta COAST: Correlated material assisted STT MRAMs for optimized read operation. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Georgios Zervakis 0001, Sotirios Xydis, Kostas Tsoumanis, Dimitrios Soudris, Kiamal Z. Pekmestzi Hybrid approximate multiplier architectures for improved power-accuracy trade-offs. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Biruk Mammo, Ritesh Parikh, Valeria Bertacco ReDEEM: A heterogeneous distributed microarchitecture for energy-efficient reliability. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tanguy Sassolas, Chiara Sandionigi, Alexandre Guerre, Julien Mottin, Pascal Vivet, Hela Boussetta, Nicolas Peltier A simulation framework for rapid prototyping and evaluation of thermal mitigation techniques in many-core architectures. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2015, Rome, Italy, July 22-24, 2015 Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  BibTeX  RDF
1Muhammad Usman Karim Khan, Muhammad Shafique 0001, Jörg Henkel Hierarchical power budgeting for Dark Silicon chips. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wei Zhang 0044, Hang Zhang 0031, John C. Lach Reducing dynamic energy of set-associative L1 instruction cache by early tag lookup. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Sadrosadati, Amirhossein Mirhosseini, Homa Aghilinasab, Hamid Sarbazi-Azad An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocators. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ankush Varma, William J. Bowhill, Jason Crop, Corey Gough, Brian Griffith, Dan Kingsley, Krishna Sistla Power management in the Intel Xeon E5 v3. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Paul N. Whatmough, Shidhartha Das, David M. Bull Analysis of adaptive clocking technique for resonant supply voltage noise mitigation. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Byungkyu Song, Taehui Na, Seong-Ook Jung, Jung Pill Kim, Seung-Hyuk Kang Reference-circuit analysis for high-bandwidth spin transfer torque random access memory. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cheng Li 0011, Paul Ampadu A compact low-power eDRAM-based NoC buffer. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuanchun Li, Yao Guo 0001, Junjun Kong, Xiangqun Chen Fixing sensor-related energy bugs through automated sensing policy instrumentation. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu-Ting Chen, Jason Cong Interconnect synthesis of heterogeneous accelerators in a shared memory architecture. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Luca Benini, Renu Mehra, Mauro Olivieri Message from the general chairs. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Beinuo Zhang, Zhewei Jiang, Qi Wang, Jae-sun Seo, Mingoo Seok A neuromorphic neural spike clustering processor for deep-brain sensing and stimulation systems. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jun Luan, Seung Jae Lee 0001, Pai H. Chou Low-power detection of sternocleidomastoid muscle contraction for asthma assessment and control. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Aldo Romani, Antonio Camarda, Alessio Baldazzi, Marco Tartagni A micropower energy harvesting circuit with piezoelectric transformer-based ultra-low voltage start-up. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Woojoo Lee, Yanzhi Wang, Donghwa Shin, Shahin Nazarian, Massoud Pedram Design and optimization of a reconfigurable power delivery network for large-area, DVS-enabled OLED displays. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jaeha Kung, Duckhwan Kim 0001, Saibal Mukhopadhyay A power-aware digital feedforward neural network platform with backpropagation driven approximate synapses. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sankalp Jain, Harshad Navale, Ümit Y. Ogras, Siddharth Garg Energy efficient scheduling for web search on heterogeneous microservers. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rajesh Jayashankara Shridevi, Dean Michael Ancajas, Koushik Chakraborty, Sanghamitra Roy Tackling voltage emergencies in NoC through timing error resilience. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuan Liang, Hao Yu 0001, Junfeng Zhao 0003, Wei Yang, Yuangang Wang An energy efficient and low cross-talk CMOS sub-THz I/O with surface-wave modulator and interconnect. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anuj Pathania, Santiago Pagani, Muhammad Shafique 0001, Jörg Henkel Power management for mobile games on asymmetric multi-cores. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Korosh Vatanparvar, Jiang Wan, Mohammad Abdullah Al Faruque Battery-aware energy-optimal Electric Vehicle driving management. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jun-Ho Choy, Valeriy Sukharev, Armen Kteyan, Henrik Hovsepyan, Ramnath Venkatraman, Ruggero Castagnetti Post placement leakage reduction with stress-enhanced filler cells. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiaoyang Mi, Debashis Mandal, Visvesh S. Sathe 0001, Bertan Bakkaloglu, Jae-sun Seo Fully-integrated switched-capacitor voltage regulator with on-chip current-sensing and workload optimization in 32nm SOI CMOS. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Javad Dousti, Majid Ghasemi-Gol, Mahdi Nazemi, Massoud Pedram ThermTap: An online power analyzer and thermal simulator for Android devices. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hoeseok Yang, Soonhoi Ha Modeling and power optimization of cyber-physical systems with energy-workload tradeoff. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cagla Cakir, Ron Ho, Jon K. Lexau, Ken Mai High-efficiency crossbar switches using capacitively coupled signaling. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ping Chi, Wang-Chien Lee, Yuan Xie 0001 Making B+-tree efficient in PCM-based main memory. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Philippe Flatresse Process and design solutions for exploiting FD-SOI technology towards energy efficient SOCs. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Borislav Alexandrov, Khondker Z. Ahmed, Saibal Mukhopadhyay An on-chip autonomous thermoelectric energy management system for energy-efficient active cooling. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Caleb Serafy, Ankur Srivastava 0001, Donald Yeung Unlocking the true potential of 3D CPUs with micro-fluidic cooling. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hoda Aghaei Khouzani, Yuan Xue, Chengmo Yang, Archana Pandurangi Prolonging PCM lifetime through energy-efficient, segment-aware, and wear-resistant page allocation. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Huichu Liu, Mahsa Shoaran, Xueqing Li, Suman Datta, Alexandre Schmid, Vijaykrishnan Narayanan Tunnel FET-based ultra-low power, low-noise amplifier design for bio-signal acquisition. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniele Bortolotti, Hossein Mamaghanian, Andrea Bartolini, Maryam Ashouei, Jan Stuijt, David Atienza, Pierre Vandergheynst, Luca Benini Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kangho Lee, Jimmy J. Kan, Seung H. Kang Unified embedded non-volatile memory for emerging mobile markets. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oscar E. Mattia, Hamilton Klimach, Sergio Bampi 2.3 ppm/°c 40 nW MOSFET-only voltage reference. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Monodeep Kar, Sergio Carlo, Harish Kumar Krishnamurthy, Saibal Mukhopadhyay Impact of process variation in inductive integrated voltage regulator on delay and power of digital circuits. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Behnam Sedighi, N. Prasanth Anthapadmanabhan, Dusan Suvakovic Timing errors in LDPC decoding computations with overscaled supply voltage. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Visvesh S. Sathe 0001 Quasi-resonant clocking: a run-time control approach for true voltage-frequency-scalability. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Joseph Pusdesris, Benjamin VanderSloot, Trevor N. Mudge A memory rename table to reduce energy and improve performance. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jason Cong Accelerator-rich architectures: from single-chip to datacenters. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ruchir Puri, Mihir R. Choudhury, Haifeng Qian, Matthew M. Ziegler Bridging high performance and low power in processor design. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chung-Wei Lin, Tzu-Hsuan Hsu, Xin-Wei Shih, Yao-Wen Chang Buffered clock tree synthesis considering self-heating effects. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1918 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license