The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPASS"( http://dblp.L3S.de/Venues/ISPASS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispass

Publication years (Num. hits)
2000 (30) 2001 (25) 2003 (23) 2004 (24) 2005 (31) 2006 (27) 2007 (27) 2008 (23) 2009 (27) 2010 (31) 2011 (35) 2012 (30) 2013 (38) 2014 (34) 2015 (43) 2016 (40) 2017 (36) 2018 (29) 2019 (35) 2020 (41) 2021 (43) 2022 (46) 2023 (43)
Publication types (Num. hits)
inproceedings(738) proceedings(23)
Venues (Conferences, Journals, ...)
ISPASS(761)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 86 occurrences of 79 keywords

Results
Found 761 publication records. Showing 761 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ke Liu, Xuechen Zhang 0001, Kei Davis, Song Jiang 0001 Synergistic coupling of SSD and hard disk for QoS-aware virtual memory. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xiaodong Zhu, Junmin Wu, Guoliang Chen 0001, Tao Li 0006 Wall-clock based synchronization: A parallel simulation technology for cluster systems. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kazunori Ogata, Tamiya Onodera Increasing the Transparent Page Sharing in Java. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alejandro Rico, Alex Ramírez, Mateo Valero Trace filtering of multithreaded applications for CMP memory simulation. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Armen Dzhagaryan, Aleksandar Milenkovic, Martin Burtscher Energy efficiency of lossless data compression on a mobile device: An experimental evaluation. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jung Ho Ahn, Sheng Li 0007, Seongil O, Norman P. Jouppi McSimA+: A manycore simulator with application-level+ simulation and detailed microarchitecture modeling. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Blake A. Hechtman, Daniel J. Sorin Evaluating cache coherent shared virtual memory for heterogeneous multicore chips. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xin Tong 0005, Jack Luo, Andreas Moshovos QTrace: An interface for customizable full system instrumentation. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yakun Sophia Shao, David M. Brooks ISA-independent workload characterization and its implications for specialized architectures. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Karthikeyan P. Saravanan, Paul M. Carpenter, Alex Ramírez Power/performance evaluation of energy efficient Ethernet (EEE) for High Performance Computing. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Prasanna Balaprakash, Darius Buntinas, Anthony Chan, Apala Guha, Rinku Gupta, Sri Hari Krishna Narayanan, Andrew A. Chien, Paul D. Hovland, Boyana Norris Exascale workload characterization and architecture implications. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yash Ukidave, Amir Kavyan Ziabari, Perhaad Mistry, Gunar Schirner, David R. Kaeli Quantifying the energy efficiency of FFT on heterogeneous platforms. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xu Liu 0001, John M. Mellor-Crummey Pinpointing data locality bottlenecks with low overhead. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Emre Kultursay, Mahmut T. Kandemir, Anand Sivasubramaniam, Onur Mutlu Evaluating STT-RAM as an energy-efficient main memory alternative. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 2012 IEEE International Symposium on Performance Analysis of Systems & Software, Austin, TX, USA, 21-23 April, 2013 Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  BibTeX  RDF
1Xiufeng Sui, Tao Sun, Tao Li 0006, Lixin Zhang 0002 Understanding the implications of virtual machine management on processor microarchitecture design. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Trevor E. Carlson, Wim Heirman, Lieven Eeckhout Sampled simulation of multi-threaded applications. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michael Shebanow Peta Thread Computing [Keynote I]. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chuanjun Zhang, Glenn G. Ko, Jungwook Choi, Shang-nien Tsai, Minje Kim, Abner Guzmán-Rivera, Rob A. Rutenbar, Paris Smaragdis, Mi Sun Park, Vijaykrishnan Narayanan, Hongyi Xin, Onur Mutlu, Bin Li 0018, Li Zhao 0002, Mei Chen EMERALD: Characterization of emerging applications and algorithms for low-power devices. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Addison Mayberry, Matthew Laquidara, Charles C. Weems Characterizing the microarchitectural side effects of operating system calls. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Daniel Hackenberg, Thomas Ilsche, Robert Schöne, Daniel Molka, Maik Schmidt, Wolfgang E. Nagel Power measurement techniques on standard compute nodes: A quantitative comparison. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xiangyu Dong, Norman P. Jouppi, Yuan Xie 0001 A circuit-architecture co-optimization framework for evaluating emerging memory hierarchies. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vincent M. Weaver, Daniel Terpstra, Heike McCraw, Matt Johnson 0002, Kiran Kasichayanula, James Ralph, John Nelson, Philip Mucci, Tushar Mohan, Shirley Moore PAPI 5: Measuring power, energy, and the cloud. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Lucas Mello Schnorr, Arnaud Legrand, Jean-Marc Vincent Interactive analysis of large distributed systems with scalable topology-based visualization. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Damien Hardy, Marios Kleanthous, Isidoros Sideris, Ali G. Saidi, Emre Ozer 0001, Yiannakis Sazeides An analytical framework for estimating TCO and exploring data center design space. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Changshu Zhang, Arun Ravindran A statistical machine learning based modeling and exploration framework for run-time cross-stack energy optimization. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jan Lucas, Sohan Lal, Michael Andersch, Mauricio Alvarez-Mesa, Ben H. H. Juurlink How a single chip causes massive power bills GPUSimPow: A GPGPU power simulator. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christos Kozyrakis Advancing computer systems without technology progress. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Parijat Dube, Michael Tsao, Li Zhang 0002, Alan Bivens Performance modeling and characterization of large last level caches. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Stijn Eyerman, Kristof Du Bois, Lieven Eeckhout Speedup stacks: Identifying scaling bottlenecks in multi-threaded applications. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Brandon H. Dwiel, Niket Kumar Choudhary, Eric Rotenberg FPGA modeling of diverse superscalar processors. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Naila Farooqui, Andrew Kerr, Greg Eisenhauer, Karsten Schwan, Sudhakar Yalamanchili Lynx: A dynamic instrumentation system for data-parallel applications on GPGPU architectures. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anil Krishna, Ahmad Samih, Yan Solihin Data sharing in multi-threaded applications and its impact on chip design. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Muhammad Faisal Iqbal, Lizy K. John Power and performance analysis of network traffic prediction techniques. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1David Meisner, Junjie Wu, Thomas F. Wenisch BigHouse: A simulation infrastructure for data center systems. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Govind Sreekar Shenoy, Jordi Tubella, Antonio González 0001 Exploiting temporal locality in network traffic using commodity multi-cores. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Paul Berube, José Nelson Amaral Combined profiling: A methodology to capture varied program behavior across multiple inputs. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Maximilien Breughe, Stijn Eyerman, Lieven Eeckhout A mechanistic performance model for superscalar in-order processors. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tayler H. Hetherington, Timothy G. Rogers, Lisa Hsu, Mike O'Connor, Tor M. Aamodt Characterizing and evaluating a key-value store application on heterogeneous CPU-GPU systems. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Po-Han Wang 0001, Chien-Wei Lo, Chia-Lin Yang, Yu-Jung Cheng A cycle-level SIMT-GPU simulation framework. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1David Eklov, Nikos Nikoleris, David Black-Schaffer, Erik Hagersten Bandwidth bandit: Understanding memory contention. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wei Wang 0054, Tanima Dey, Jason Mars, Lingjia Tang, Jack W. Davidson, Mary Lou Soffa Performance analysis of thread mappings with a holistic view of the hardware resources. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ryan W. Moore, Bruce R. Childers Using utility prediction models to dynamically choose program thread counts. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Licheng Chen, Zehan Cui, Yungang Bao, Mingyu Chen 0001, Yongbing Huang, Guangming Tan A lightweight hybrid hardware/software approach for object-relative memory profiling. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Magnus Själander, Sally A. McKee, Peter Brauer, David Engdal, András Vajda An LTE Uplink Receiver PHY benchmark and subframe-based power management. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Md. Wasi-ur-Rahman, Jian Huang 0006, Jithin Jose, Xiangyong Ouyang, Hao Wang 0002, Nusrat S. Islam, Hari Subramoni, Chet Murthy, Dhabaleswar K. Panda 0001 Understanding the communication characteristics in HBase: What are the fundamental bottlenecks? Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mazda Marvasti Keynote: Systems management in the age of cloud. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yu-Ju Hong, Jiachen Xue, Mithuna Thottethodi Selective commitment and selective margin: Techniques to minimize cost in an IaaS cloud. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vlasia Anagnostopoulou, Martin Dimitrov, Kshitij A. Doshi SLA-guided energy savings for enterprise servers. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wenhao Jia, Kelly A. Shaw 0001, Margaret Martonosi Stargazer: Automated regression-based GPU design space exploration. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ehsan Totoni, Babak Behzad, Swapnil Ghike, Josep Torrellas Comparing the power and performance of Intel's SCC to state-of-the-art CPUs and GPUs. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Will Simoneau, Resit Sendag An FPGA-based multi-core platform for testing and analysis of architectural techniques. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wei Zang, Ann Gordon-Ross A single-pass cache simulation methodology for two-level unified caches. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rajeev Balasubramonian, Vijayalakshmi Srinivasan (eds.) 2012 IEEE International Symposium on Performance Analysis of Systems & Software, New Brunswick, NJ, USA, April 1-3, 2012 Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  BibTeX  RDF
1Devesh Tiwari, Yan Solihin Architectural characterization and similarity analysis of sunspider and Google's V8 Javascript benchmarks. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sai Rahul Chalamalasetti, Martin Margala, Wim Vanderbauwhede, Mitch Wright, Parthasarathy Ranganathan Evaluating FPGA-acceleration for real-time unstructured search. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Asif Khan 0005, Muralidaran Vijayaraghavan, Silas Boyd-Wickizer, Arvind Fast and cycle-accurate modeling of a multicore processor. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Margaret Martonosi Keynote: Parallelism, heterogeneity, communication: Emerging challenges for performance analysis. Search on Bibsonomy ISPASS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tanima Dey, Wei Wang 0054, Jack W. Davidson, Mary Lou Soffa Characterizing multi-threaded applications based on shared-resource contention. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2011, 10-12 April, 2011, Austin, TX, USA Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  BibTeX  RDF
1Jungseob Lee, Paritosh Pratap Ajgaonkar, Nam Sung Kim Analyzing throughput of GPGPUs exploiting within-die core-to-core frequency variation. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Seung-Hwan Lim, Bikash Sharma, Byung-Chul Tak, Chita R. Das A dynamic energy management scheme for multi-tier data centers. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ju-Young Jung, Sangyeun Cho PRISM: Zooming in persistent RAM storage behavior. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Santiago Bock, Bruce R. Childers, Rami G. Melhem, Daniel Mossé, Youtao Zhang Analyzing the impact of useless write-backs on the endurance and energy consumption of PCM main memory. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jiayuan Meng, Kevin Skadron A reconfigurable simulator for large-scale heterogeneous multicore architectures. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michael Kistler, Daniel A. Brokenshire Detecting race conditions in asynchronous DMA operations with full system simulation. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Lide Duan, Ying Zhang 0016, Bin Li 0008, Lu Peng 0001 Universal rules guided design parameter selection for soft error resilient processors. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chris Gregg, Kim M. Hazelwood Where is the data? Why you cannot debate CPU vs. GPU performance without the answer. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jeffrey R. Diamond, Martin Burtscher, John D. McCalpin, Byoung-Do Kim, Stephen W. Keckler, James C. Browne Evaluation and optimization of multicore performance bottlenecks in supercomputing applications. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alexandra Jimborean, Matthieu Herrmann, Vincent Loechner, Philippe Clauss VMAD: A virtual machine for advanced dynamic analysis of programs. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alain Ketterlin, Philippe Clauss Efficient memory tracing by program skeletonization. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Carole-Jean Wu, Margaret Martonosi Characterization and dynamic mitigation of intra-application cache interference. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mathias Payer, Thomas R. Gross Performance evaluation of adaptivity in software transactional memory. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1David Meisner, Junjie Wu, Thomas F. Wenisch Towards a scalable data center-level evaluation methodology. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alejandro Rico, Alejandro Duran, Felipe Cabarcas, Yoav Etsion, Alex Ramírez, Mateo Valero Trace-driven simulation of multithreaded applications. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ravishankar R. Iyer 0001 Keynote I: The era of heterogeneity: Are we prepared? Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Pradip Bose Keynote II: Integrated modeling challenges in extreme-scale computing. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andreas Genser, Christian Bachmann, Christian Steger, Reinhold Weiss, Josef Haid Supply voltage emulation platform for DVFS voltage drop compensation explorations. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Stijn Eyerman, Kenneth Hoste, Lieven Eeckhout Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mieszko Lis, Pengju Ren, Myong Hyon Cho, Keun Sup Shim, Christopher W. Fletcher, Omer Khan, Srinivas Devadas Scalable, accurate multicore simulation in the 1000-core era. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kermin Elliott Fleming, Man Cheuk Ng, Samuel Gross, Arvind WiLIS: Architectural modeling of wireless systems. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mohamed F. Ahmed, Omar Haridy A comparative benchmarking of the FFT on Fermi and Evergreen GPUs. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christina Delimitrou, Sriram Sankar, Kushagra Vaid, Christos Kozyrakis Storage I/O generation and replay for datacenter applications. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hyojin Choi, Jongbok Lee, Wonyong Sung Memory access pattern-aware DRAM performance model for multi-core systems. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zhenman Fang, Donglei Yang, Weihua Zhang, Haibo Chen 0001, Binyu Zang A comprehensive analysis and parallelization of an image retrieval algorithm. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dan Upton, Kim M. Hazelwood Finding cool code: An analysis of source-level causes of temperature effects. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1David A. Penry A single-specification principle for functional-to-timing simulator interface design. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christina M. Patrick, Nicholas Voshell, Mahmut T. Kandemir Minimizing interference through application mapping in multi-level buffer caches. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chung-Hsing Hsu, Stephen W. Poole Power signature analysis of the SPECpower_ssj2008 benchmark. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Svilen Kanev, Robert Cohn Portable trace compression through instruction interpretation. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Junghee Lee, Youngjae Kim 0001, Galen M. Shipman, Sarp Oral, Feiyi Wang, Jongman Kim A semi-preemptive garbage collector for solid state drives. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michelle McDaniel, Kim M. Hazelwood Performance characterization of mobile-class nodes: Why fewer bits is better. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Guangyu Shi, Min Li, Mikko H. Lipasti Accelerating search and recognition workloads with SSE 4.2 string and text processing instructions. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michael Laurenzano, Mustafa M. Tikir, Laura Carrington, Allan Snavely PEBIL: Efficient static binary instrumentation for Linux. Search on Bibsonomy ISPASS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jeffrey Shafer, Scott Rixner, Alan L. Cox The Hadoop distributed filesystem: Balancing portability and performance. Search on Bibsonomy ISPASS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alexei Alexandrov, Douglas Armstrong, Hrabri Rajic, Michael Voss, Donald Hayes High-level performance modeling of task-based algorithms. Search on Bibsonomy ISPASS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nick Mitchell The big pileup. Search on Bibsonomy ISPASS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dmitrijs Zaparanuks, Matthias Hauswirth Characterizing the design and performance of interactive java applications. Search on Bibsonomy ISPASS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Collin McCurdy, Jeffrey S. Vetter Memphis: Finding and fixing NUMA-related performance problems on multi-core platforms. Search on Bibsonomy ISPASS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Henry Wong, Misel-Myrto Papadopoulou, Maryam Sadooghi-Alvandi, Andreas Moshovos Demystifying GPU microarchitecture through microbenchmarking. Search on Bibsonomy ISPASS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 761 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license