The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ITC"( http://dblp.L3S.de/Venues/ITC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/citc

Publication years (Num. hits)
1981 (76) 1982 (106) 1983 (114) 1984 (116) 1985 (137) 1986 (143) 1988 (136) 1989 (121) 1990 (140) 1991 (143) 1992 (132) 1993 (136) 1994 (132) 1995 (137) 1996 (126) 1997 (138) 1998 (160) 1999 (165) 2000 (123) 2001 (128) 2002 (188) 2003 (195) 2004 (207) 2005 (182) 2006 (119) 2007 (216) 2008 (146) 2009 (140) 2010 (139) 2011 (112) 2012 (96) 2013 (102) 2014 (149) 2015 (76) 2016 (103) 2017 (88) 2018 (60) 2019 (95) 2020 (112) 2021 (100) 2022 (107) 2023 (70)
Publication types (Num. hits)
inproceedings(5364) proceedings(47)
Venues (Conferences, Journals, ...)
ITC(5411)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 220 occurrences of 191 keywords

Results
Found 5411 publication records. Showing 5411 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Thirupathaiah Vasantam, Ravi R. Mazumdar Fluctuations Around the Mean-Field for a Large Scale Erlang Loss System Under the SQ(d) Load Balancing. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peiyue Zhao, György Dán Scheduling Parallel Migration of Virtualized Services Under Time Constraints in Mobile Edge Clouds. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Masayuki Kurata, Kenji Heira, Masahiro Shibata, Masato Tsuru Minimizing One-to-Many File Transfer Times using Multipath-Multicast with Reed-Solomon Coding. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fabrice Guillemin, Verónica Quintuna Rodriguez, Alain Simonian A Processor-Sharing Model for the Performance of Virtualized Network Functions. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gaetano Manzo, Sebastian Otálora, Torsten Braun, Marco Ajmone Marsan, Gianluca Rizzo, Hung Nguyen 0004 DeepFloat: Resource-Efficient Dynamic Management of Vehicular Floating Content. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dariush Fooladivanda, Catherine Rosenberg Joint User Association and Resource Allocation in Heterogeneous Cellular Networks: Comparison of Two Modeling Approaches. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pooja Vyavahare, D. Manjunath, Jayakrishnan Nair 0001 Sponsored Data with ISP Competition. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stefan Geissler, Thomas Prantl, Stanislav Lange, Florian Wamser, Tobias Hoßfeld Discrete-Time Analysis of the Blockchain Distributed Ledger Technology. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Matthias Hirth, Florian Steurer, Kathrin Borchert, Dan Dubiner Task Scheduling on Crowdsourcing Platforms for Enabling Completion Time SLAs. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Esa Hyytiä, Guðmundur Magnússon, Rhonda Righter Controlling Queues with Constant Interarrival Times. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Markus Fiedler Performance Analytics by Means of the M5P Machine Learning Algorithm. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Samvel K. Shoukourian, Yuri Shoukourian, Vladimir Sahakyan Armenia: Communicating to World Community in Electronic Test and Design. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Aleksa Damljanovic, Artur Jutman, Michele Portolan, Ernesto Sánchez 0001, Giovanni Squillero, Anton Tsertov Simulation-based Equivalence Checking between IEEE 1687 ICL and RTL. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhan Gao, Santosh Malagi, Min-Chun Hu 0002, Joe Swenton, Rogier Baert, Jos Huisken, Bilal Chehab, Kees Goossens, Erik Jan Marinissen Application of Cell-Aware Test on an Advanced 3nm CMOS Technology Library. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yervant Zorian, Vladimir Hahanov, Svetlana Chumachenko, Eugenia Litvinova 17th IEEE East-West Design and Test Symposium. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zeye Liu 0001, Qicheng Huang, Chenlei Fang, R. D. (Shawn) Blanton Improving Test Chip Design Efficiency via Machine Learning. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Iterative Test Generation for Gate-Exhaustive Faults to Cover the Sites of Undetectable Target Faults. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Michiko Inoue, Xiaowei Li 0001, Cheng-Wen Wu Asian Test Symposium - Past, Present and Future -. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cheng-Hsien Shen, Aaron C.-W. Liang, Charles C.-H. Hsu, Charles H.-P. Wen FAE: Autoencoder-Based Failure Binning of RTL Designs for Verification and Debugging. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jisuk Kim, Jinyub Lee, Sungjoo Yoo Machine Learning-Based Automatic Generation of eFuse Configuration in NAND Flash Chip. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tal Kogan, Yehonatan Abotbol Virtual Memory Structures Facilitating Memory BIST Insertion In Complex SoCs. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nithyashankari Gummidipoondi Jayasankaran, Adriana C. Sanabria-Borbon, Amr Abuellil, Edgar Sánchez-Sinencio, Jiang Hu, Jeyavijayan Rajendran Breaking Analog Locking Techniques via Satisfiability Modulo Theories. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 IEEE International Test Conference, ITC 2019, Washington, DC, USA, November 9-15, 2019 Search on Bibsonomy ITC The full citation details ... 2019 DBLP  BibTeX  RDF
1Mohammad Urf Maaz, Alexander Sprenger, Sybille Hellebrand A Hybrid Space Compactor for Adaptive X-Handling. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zheng Xu, Jacob Abraham Safety Design of a Convolutional Neural Network Accelerator with Error Localization and Correction. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Toshiyuki Omuro, Shigeo Nakamura Surname, Takashi Kimura, Kiyokawa Omuro A New Test Method for the Large Current Magnetic Sensors. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stephan Eggersglüß Towards Complete Fault Coverage by Test Point Insertion using Optimization-SAT Techniques. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Adam Duncan, Fahim Rahman, Andrew Lukefahr, Farimah Farahmandi, Mark M. Tehranipoor FPGA Bitstream Security: A Day in the Life. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stephen Sunter Efficient Analog Defect Simulation. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kiyotaka Ichiyama, Takashi Kusaka, Masahiro Ishida A Jitter Injection Module for Production Test of 52-Gbps PAM4 Signal Interfaces. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wei Chu, Shi-Yu Huang Overall Strategy for Online Clock System Checking Supporting Heterogeneous Integration. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Erik Larsson, Prathamesh Murali, Gani Kumisbek IEEE Std. P1687.1: Translator and Protocol. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Danielle Duvalsaint, Xiaoxiao Jin, Benjamin Niewenhuis, R. D. (Shawn) Blanton Characterization of Locked Combinational Circuits via ATPG. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kelly Ockunzzi, Richard Grupp, Brion Keller, Mark Taylor, Sreekanth Pai, Greeshma Jayakumar Applications of Hierarchical Test. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mengyun Liu, Xin Li 0001, Krishnendu Chakrabarty, Xinli Gu Knowledge Transfer in Board-Level Functional Fault Identification using Domain Adaptation. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Moritz Fieback, Lizhou Wu, Guilherme Cardoso Medeiros, Hassen Aziza, Siddharth Rao, Erik Jan Marinissen, Mottaqiallah Taouil, Said Hamdioui Device-Aware Test: A New Test Approach Towards DPPB Level. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Szilárd Enyedi, Liviu Miclea IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR). Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Magdy Abadir, Sohrab Aftabjahani An Overview of the International Microprocessor/ SoC Test, Security and Validation (MTV)Workshop. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zoran Stamenkovic, Alberto Bosio, György Cserey, Ondrej Novák, Witold A. Pleskacz, Lukás Sekanina, Andreas Steininger, Goran Stojanovic, Viera Stopjaková International Symposium on Design and Diagnostics of Electronic Circuits and Systems. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yi He 0010, Yanjing Li Time-Slicing Soft Error Resilience in Microprocessors for Reliable and Energy-Efficient Execution. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nidish Vashistha, M. Tanjidur Rahman, Olivia P. Paradis, Navid Asadizanjani Is Backside the New Backdoor in Modern SoCs?: Invited Paper. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jingchi Yang, David C. Keezer A Framework for Design of Self-Repairing Digital Systems. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Magdy Abadir, Sohrab Aftabjahani An Overview of the International Verification and Security Workshop (IVSW). Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nusrat Farzana, Fahim Rahman, Mark M. Tehranipoor, Farimah Farahmandi SoC Security Verification using Property Checking. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stefan Holst, Eric Schneider, Michael A. Kochte, Xiaoqing Wen, Hans-Joachim Wunderlich Variation-Aware Small Delay Fault Diagnosis on Compressed Test Responses. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fangzhou Wang, Sandeep Gupta 0001 Multi-cell characterization: Developing robust cells and abstraction for Rapid Single Flux Quantum (RSFQ) Logic. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gabriele Boschi, Donato Luongo, Duccio Lazzarotti, Hanna Shaheen, Hayk T. Grigoryan, Gurgen Harutyunyan, Samvel K. Shoukourian, Yervant Zorian Memory FIT Rate Mitigation Technique for Automotive SoCs. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tao Chen 0006, Degang Chen 0001 Built-in self-test and self-calibration for analog and mixed signal circuits. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sreeja Chowdhury, Fatemeh Ganji, Troy Bryant, Nima Maghari, Domenic Forte Recycled Analog and Mixed Signal Chip Detection at Zero Cost Using LDO Degradation. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Adit D. Singh An Adaptive Approach to Minimize System Level Tests Targeting Low Voltage DVFS Failures. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Andrew Yi-Ann Huang, Katherine Shu-Min Li, Cheng-Yen Tsai, Ken Chau-Cheung Cheng, Sying-Jyan Wang, Xu-Hao Jiang, Leon Chou, Chen-Shiun Lee TestDNA: Novel Wafer Defect Signature for Diagnosis and Yield Learning. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Teresa McLaurin, Rob Knoth The Challenges of Implementing an MBIST Interface: A Practical Application. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ahmed M. Y. Ibrahim, Hans G. Kerkhoff DARS: An EDA Framework for Reliability and Functional Safety Management of System-on-Chips. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Seyed Nima Mozaffari, Bonita Bhaskaran, Kaushik Narayanun, Ayub Abdollahian, Vinod Pagalone, Shantanu Sarangi, Jonathon E. Colburn An Efficient Supervised Learning Method to Predict Power Supply Noise During At-speed Test. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Compaction of a Functional Broadside Test Set through the Compaction of a Functional Test Sequence without Sequential Fault Simulation. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Anteneh Gebregiorgis, Mehdi Baradaran Tahoori Testing of Neuromorphic Circuits: Structural vs Functional. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gaurav Rajavendra Reddy, Mohammad-Mahdi Bidmeshki, Yiorgos Makris VIPER: A Versatile and Intuitive Pattern GenERator for Early Design Space Exploration. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chuanhe Jay Shan, Ahmed Wahba, Li-C. Wang, Nik Sumikawa Deploying A Machine Learning Solution As A Surrogate. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Andrea Floridia, Davide Piumatti, Annachiara Ruospo, Ernesto Sánchez 0001, Sergio de Luca, Rosario Martorana A Decentralized Scheduler for On-line Self-test Routines in Multi-core Automotive System-on-Chips. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gerhard Schrom, Michael J. Hill, Sarath Makala, Ravi Sankar Vunnam, Arun Krishnamoorthy, Ryan Ferguson Efficiency Measurement Method for Fully Integrated Voltage Regulators used in 4th and 5th Generation Intel® Core™ Microprocessors. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tung-Che Liang, Krishnendu Chakrabarty, Ramesh Karri Programmable Daisychaining of Microelectrodes for IP Protection in MEDA Biochips. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wim Dobbelaere, Frederik Colle, Anthony Coyette, Ronny Vanhooren, Nektar Xama, Jhon Gomez, Georges G. E. Gielen Applying Vstress and defect activation coverage to produce zero-defect mixed-signal automotive ICs. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Domenic Forte, Swarup Bhunia, Ramesh Karri, Jim Plusquellic, Mark M. Tehranipoor IEEE International Symposium on Hardware Oriented Security and Trust (HOST): Past, Present, and Future. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Said Hamdioui, Moritz Fieback, Surya Nagarajan, Mottaqiallah Taouil Testing Computation-in-Memory Architectures Based on Emerging Memories. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Huawei Li 0001, Xiaowei Li 0001, Yinhe Han 0001 China Test Conference (CTC) - Extending the Global Test Forum to China. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nilanjan Mukherjee 0001, Jerzy Tyszer, Daniel Tille, Mahendar Sapati, Yingdi Liu, Jeffrey Mayer, Sylwester Milewski, Elham K. Moghaddam, Janusz Rajski, Jedrzej Solecki Test Time and Area Optimized BrST Scheme for Automotive ICs. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Arjun Chaudhuri, Mengyun Liu, Krishnendu Chakrabarty Fault-Tolerant Neuromorphic Computing Systems. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hao Chen 0053, Mincent Lee, Liang-Yen Chen, Min-Jer Wang High Quality Test Methodology for Highly Reliable Devices. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Luis D. Rojas, Kevin Hess, Christina Carter-Brown Effectively Using Machine Learning to Expedite System Level Test Failure Debug. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Apik Zorian, Basim Shanyour, Milir Vaseekar Machine Learning-Based DFT Recommendation System for ATPG QOR. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Arjun Chaudhuri, Bonan Yan, Yiran Chen 0001, Krishnendu Chakrabarty Hardware Fault Tolerance for Binary RRAM Crossbars. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kosuke Ikeda, Keith Schaub, Ira Leventhal, Yiorgos Makris, Constantinos Xanthopoulos, Deepika Neethirajan Subtle Anomaly Detection of Microscopic Probes using Deep learning based Image Completion. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhanwei Zhong, Krishnendu Chakrabarty Fault Recovery in Micro-Electrode-Dot-Array Digital Microfluidic Biochips Using an IJTAG NetworkBehaviors. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Natalia Lylina, Ahmed Atteya, Pascal Raiola, Matthias Sauer 0002, Bernd Becker 0001, Hans-Joachim Wunderlich Security Compliance Analysis of Reconfigurable Scan Networks. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Innocent Okwudili Agbo, Mottaqiallah Taouil, Said Hamdioui Reliability Modeling and Mitigation for Embedded Memories. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yu-Teng Nien, Kai-Chiang Wu, Dong-Zhen Lee, Ying-Yen Chen, Po-Lin Chen, Mason Chern, Jih-Nung Lee, Shu-Yi Kao, Mango Chia-Tso Chao Methodology of Generating Timing-Slack-Based Cell-Aware Tests. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhanwei Zhong, Haodong Zhu, Peiran Zhang, Tony Jun Huang, Krishnendu Chakrabarty Structural Test and Functional Test for Digital Acoustofluidic Biochips. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stephan Eggersglüß, Said Hamdioui, Artur Jutman, Maria K. Michael, Jaan Raik, Matteo Sonza Reorda, Mehdi Baradaran Tahoori, Elena Ioana Vatajelu IEEE European Test Symposium (ETS). Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Atieh Lotfi, Saurabh Hukerikar, Keshav Balasubramanian, Paul Racunas, Nirmal R. Saxena, Richard Bramley, Yanxiang Huang Resiliency of automotive object detection networks on GPU architectures. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chen He Advanced Burn-In - An Optimized Product Stress and Test Flow for Automotive Microcontrollers. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sujay Pandey, Sanya Gupta, Madhu Sudhan L., Suriya Natarajan, Arani Sinha, Abhijit Chatterjee Characterization of Library Cells for Open-circuit Defect Exposure: A Systematic Methodology. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Christos Papameletis, Vivek Chickermane, Brian Foutz, Sarthak Singhal, Krishna Chakravadhanula Optimized Physical DFT Synthesis of Unified Compression and LBIST for Automotive Applications. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fei Su, Prashant Goteti, Min Zhang On Freedom from Interference in Mixed-Criticality Systems: A Causal Learning Approach. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kuen-Jong Lee, Shi-Yu Huang, Huawei Li, Tomoo Inoue, Yervant Zorian International Test Conference in Asia (ITC-Asia) - Bridging ITC and Test Community in Asia. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yibo Lin, Mohamed Baker Alawieh, Wei Ye 0008, David Z. Pan Machine Learning for Yield Learning and Optimization. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Janusz Rajski, Jerzy Tyszer, Justyna Zawada On New Class of Test Points and Their Applications. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yu Huang 0005, Sylwester Milewski, Janusz Rajski, Jerzy Tyszer, Chen Wang 0014 Hypercompression of Test Patterns. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shuyue Lan, Chao Huang 0015, Zhilu Wang, Hengyi Liang, Wenhao Su, Qi Zhu 0002 Design Automation for Intelligent Automotive Systems. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lizhou Wu, Mottaqiallah Taouil, Siddharth Rao, Erik Jan Marinissen, Said Hamdioui Electrical Modeling of STT-MRAM Defects. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ling Zhang, Zipeng Li, Krishnendu Chakrabarty Built-In Self-Diagnosis and Fault-Tolerant Daisy-Chain Design in MEDA Biochips. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Christiana Kapatsori, Yu Liu, Angelos Antonopoulos 0002, Yiorgos Makris Hardware Dithering: A Run-Time Method for Trojan Neutralization in Wireless Cryptographic ICs. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Niveditha Manjunath, Dieter Haerle, Stephen Sabanal, Herbert Eichinger, Hermann Tauber, Andreas Machne, Christian Manthey, Mikko Vaananen, Radu Grosu, Dejan Nickovic Production Tests Coverage Analysis in the Simulation Environment. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Senwen Kan, Jennifer Dworak IJTAG Integrity Checking with Chained Hashing. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tamzidul Hoque, Jonathan Cruz 0001, Prabuddha Chakraborty, Swarup Bhunia Hardware IP Trust Validation: Learn (the Untrustworthy), and Verify. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Klas Leino, Shayak Sen, Anupam Datta, Matt Fredrikson, Linyi Li Influence-Directed Explanations for Deep Convolutional Networks. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alif Ahmed, Farimah Farahmandi, Yousef Iskander, Prabhat Mishra 0001 Scalable Hardware Trojan Activation by Interleaving Concrete Simulation and Symbolic Execution. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yingdi Liu, Nilanjan Mukherjee 0001, Janusz Rajski, Sudhakar M. Reddy, Jerzy Tyszer Deterministic Stellar BIST for In-System Automotive Test. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1M. Casarsa, Gurgen Harutyunyan Case Study and Advanced Functional Safety Solution for Automotive SoCs. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Peter Wohl, John A. Waicukauski, Gregory A. Maston, Jonathon E. Colburn XLBIST: X-Tolerant Logic BIST. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tien-Phu Ho, Eric Faehn, Arnaud Virazel, Alberto Bosio, Patrick Girard 0001 An Effective Intra-Cell Diagnosis Flow for Industrial SRAMs. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 5411 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license