The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for LAS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1963 (56) 1965 (123) 1969 (78) 1971 (99) 1972 (23) 1973-1978 (89) 1979-1980 (29) 1981 (30) 1982 (135) 1983-1984 (88) 1985 (139) 1986 (254) 1987 (50) 1988-1989 (207) 1990-1992 (44) 1993 (68) 1994 (68) 1995 (191) 1996 (162) 1997 (518) 1998 (101) 1999 (742) 2000 (860) 2001 (821) 2002 (2080) 2003 (2695) 2004 (2298) 2005 (2647) 2006 (2664) 2007 (2534) 2008 (5257) 2009 (3081) 2010 (2694) 2011 (1373) 2012 (1401) 2013 (3478) 2014 (1058) 2015 (1221) 2016 (3019) 2017 (1626) 2018 (2614) 2019 (1209) 2020 (2255) 2021 (1193) 2022 (1933) 2023 (854) 2024 (756)
Publication types (Num. hits)
article(534) data(4) incollection(4) inproceedings(53736) phdthesis(68) proceedings(569)
Venues (Conferences, Journals, ...)
CCNC(4705) ICCE(3357) PDPTA(2813) CDC(2072) ITNG(1964) IROS(1750) IC-AI(1538) CCWC(1416) ICASSP(1353) DAC(1185) IRI(1075) Software Engineering Research ...(1022) WCNC(971) International Conference on In...(905) EUROCAST(782) Security and Management(759) More (+10 of total 604)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 7329 occurrences of 3815 keywords

Results
Found 54994 publication records. Showing 54915 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28José Monteiro 0001, Srinivas Devadas, Pranav Ashar, Ashutosh Mauskar Scheduling Techniques to Enable Power Management. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Chau-Shen Chen, Kuang-Hui Lin, TingTing Hwang Layout Driven Selecting and Chaining of Partial Scan. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Mani B. Srivastava, Miodrag Potkonjak Power Optimization in Programmable Processors and ASIC Implementations of Linear Systems: Transformation-based Approach. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Yong Je Lim, Kyung-Im Son, Heung-Joon Park, Mani Soma A Statistical Approach to the Estimation of Delay Dependent Switching Activities in CMOS Combinational Circuits. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Sungju Park A New Complete Diagnosis Patterns for Wiring Interconnects. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Byron Krauter, Yu Xia, E. Aykut Dengi, Lawrence T. Pileggi A Sparse Image Method for BEM Capacitance Extraction. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Jian Li 0061, Rajesh K. Gupta 0001 HDL Optimization Using Timed Decision Tables. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Chung-Ping Chen, Yao-Wen Chang, D. F. Wong 0001 Fast Performance-Driven Optimization for Buffered Clock Trees Based on Lagrangian Relaxation. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Anantha P. Chandrakasan, Isabel Y. Yang, Carlin Vieri, Dimitri A. Antoniadis Design Considerations and Tools for Low-voltage Digital System Design. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28David Ihsin Cheng, Kwang-Ting Cheng, Deborah C. Wang, Malgorzata Marek-Sadowska A New Hybrid Methodology for Power Estimation. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28V. Chandramouli, Karem A. Sakallah Modeling the Effects of Temporal Proximity of Input Transitions on Gate Propagation Delay and Transition Time. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Mahesh A. Iyer, David E. Long, Miron Abramovici Identifying Sequential Redundancies Without Search. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Gopi Ganapathy, Ram Narayan, Glenn Jorden, Denzil Fernandez, Ming Wang, Jim Nishimura Hardware Emulation for Functional Verification of K5. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Carsten Borchers, Lars Hedrich, Erich Barke Equation-Based Behavioral Model Generation for Nonlinear Analog Circuits. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Huoy-Yu Liou, Ting-Ting Y. Lin, Chung-Kuan Cheng Area Efficient Pipelined Pseudo-Exhaustive Testing with Retiming. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Mahesh Mehendale, G. Venkatesh 0001, Sunil D. Sherlekar Optimized Code Generation of Multiplication-free Linear Transforms. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28James Monaco, David Holloway, Rajesh Raina Functional Verification Methodology for the PowerPC 604 Microprocessor. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Anoosh Hosseini, Dimitrios Mavroidis, Pavlos Konas Code Generation and Analysis for the Functional Verification of Microprocessors. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Andrew Wolfe Opportunities and Obstacles in Low-Power System-Level CAD. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Arun N. Lokanathan, Jay B. Brockman, John E. Renaud A Methodology for Concurrent Fabrication Process/Cell Library Optimization. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Robert C. Hutchins, Shankar Hemmady How to Write Awk and Perl Scripts to Enable Your EDA Tools to Work Together. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Fabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Andreas Koch Module Compaction in FPGA-based Regular Datapaths. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Balakrishnan Iyer, Ramesh Karri Introspection: A Low Overhead Binding Technique During Self-Diagnosing Microarchitecture Synthesis. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Olivier Coudert On Solving Covering Problems. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Michael D. Hutton, Jerry P. Grossman, Jonathan Rose, Derek G. Corneil Characterization and Parameterized Random Generation of Digital Circuits. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Yusuke Matsunaga An Efficient Equivalence Checker for Combinational Circuits. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Edmund M. Clarke, Manpreet Khaira, Xudong Zhao 0005 Word Level Model Checking - Avoiding the Pentium FDIV Error. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Prabhakar Kudva, Ganesh Gopalakrishnan, Hans M. Jacobson A Technique for Synthesizing Distributed Burst-mode Circuits. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Paolo Miliozzi, Iasson Vassiliou, Edoardo Charbon, Enrico Malavasi, Alberto L. Sangiovanni-Vincentelli Use of Sensitivities and Generalized Substrate Models in Mixed-Signal IC Design. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Jason Cong, Yean-Yow Hwang Structural Gate Decomposition for Depth-Optimal Technology Mapping in LUT-based FPGA Design. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Michael Kantrowitz, Lisa M. Noack I'm Done Simulating: Now What? Verification Coverage Analysis and Correctness Checking of the DECchip 21164 Alpha Microprocessor. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Chung-Ping Chen, Yao-Ping Chen, D. F. Wong 0001 Optimal Wire-Sizing Formular Under the Elmore Delay Model. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Cyrus Bamji, Enrico Malavasi Enhanced Network Flow Algorithm for Yield Optimization. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28C. Norris Ip, David L. Dill State Reduction Using Reversible Rules. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Sunil P. Khatri, Amit Narayan, Sriram C. Krishnan, Kenneth L. McMillan, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Engineering Change in a Non-Deterministic FSM Setting. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Ming-Ter Kuo, Lung-Tien Liu, Chung-Kuan Cheng Network Partitioning into Tree Hierarchies. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Luc Burgun, Frédéric Reblewski, Gérard Fenelon, Jean Barbier, Olivier Lepape Serial Fault Emulation. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Kei Suzuki, Alberto L. Sangiovanni-Vincentelli Efficient Software Performance Estimation Methods for Hardware/Software Codesign. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Chien-Chung Tsai, Malgorzata Marek-Sadowska Multilevel Logic Synthesis for Arithmetic Functions. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Shashidhar Thakur, D. F. Wong 0001, Shankar Krishnamoorthy Delay Minimal Decomposition of Multiplexers in Technology Mapping. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Alexei L. Semenov, Alexandre Yakovlev Verification of asynchronous circuits using Time Petri Net unfolding. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Hans Sahm, Claus Mayer, Jörg Pleickhardt, Johannes Schuck, Stefan Späth VDHL Development System and Coding Standard. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VHDL
28Shantanu Dutt, Wenyong Deng A Probability-Based Approach to VLSI Circuit Partitioning. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Jagesh V. Sanghavi, Rajeev K. Ranjan 0001, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli High Performance BDD Package By Exploiting Memory Hiercharchy. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Huzefa Mehta, Robert Michael Owens, Mary Jane Irwin Energy Characterization based on Clustering. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Alessandro Bogliolo, Luca Benini, Bruno Riccò Power Estimation of Cell-Based CMOS Circuits. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Narayan R. Aluru, V. B. Nadkarni, James White A Parallel Precorrected FFT Based Capacitance Extraction Program for Signal Integrity Analysis. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Stephen Dean Brown, Naraig Manjikian, Zvonko G. Vranesic, S. Caranci, A. Grbic, R. Grindley, M. Gusat, K. Loveless, Zeljko Zilic, Sinisa Srbljic Experience in Designing a Large-scale Multiprocessor using Field-Programmable Devices and Advanced CAD Tools. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Frank M. Johannes Partitioning of VLSI Circuits and Systems. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Christos A. Papachristou, Mark Spining, Mehrdad Nourani An Effective Power Management Scheme for RTL Design Based on Multiple Clocks. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28David Lidsky, Jan M. Rabaey Early Power Exploration - A World Wide Web Application. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Mattan Kamon, Steve S. Majors Package and Interconnect Modeling of the HFA3624, a 2.4GHz RF to IF Converter. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Koichi Sato, Masamichi Kawarabayashi, Hideyuki Emura, Naotaka Maeda Post-Layout Optimization for Deep Submicron Design. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Steven Vercauteren, Bill Lin 0001, Hugo De Man A Strategy for Real-Time Kernel Support in Application-Specific HW/SW Embedded Architectures. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Jos A. Huisken, F. Welten FADIC: Architectural Synthesis applied in IC Design. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Benny Schnaider, Einat Yogev Software Development in a Hardware Simulation Environment. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Janardhan H. Satyanarayana, Keshab K. Parhi HEAT: Hierarchical Energy Analysis Tool. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Aurobindo Dasgupta, Ramesh Karri Electromigration Reliability Enhancement via Bus Activity Distribution. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Jeremy R. Levitt, Kunle Olukotun A Scalable Formal Verification Methodology for Pipelined Microprocessors. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Johannes Tausch, Jacob K. White 0001 Multipole Accelerated Capacitance Calculation for Structures with Multiple Dielectrics with high Permittivity Ratios. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Mien Li, Linda S. Milor Computing Parametric Yield Adaptively Using Local Linear Models. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Joel R. Philips, Eli Chiprout, David D. Ling Efficient Full-Wave Electromagnetic Analysis via Model-Order Reduction of Fast Integral Transforms. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Bernhard Rohfleisch, Alfred Kölbl, Bernd Wurth Reducing Power Dissipation after Technology Mapping by Structural Transformations. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Elisabeth Berrebi, Polen Kission, Serge Vernalde, S. De Troch, Jean-Claude Herluison, Jean Fréhel, Ahmed Amine Jerraya, Ivo Bolsens Combined Control Flow Dominated and Data Flow Dominated High-Level Synthesis. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Douglas J. Smith VHDL & Verilog Compared & Contrasted - Plus Modeled Example Written in VHDL, Verilog and C. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VHDL
28Randal E. Bryant Bit-Level Analysis of an SRT Divider Circuit. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Yasunori Miyahara, Yoshimoto Oumi, Seijiro Moriyama Design Methodology for Analog High Frequency ICs. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Sanjay Sawant, Paul Giordano RTL Emulation: The Next Leap in System Verification. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Steven Vercauteren, Bill Lin 0001, Hugo De Man Constructing Application-Specific Heterogeneous Embedded Architectures from Custom HW/SW Applications. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28K. D. Jones, J. P. Privitera The Automatic Generation of Functional Test Vectors for Rambus Designs. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28P. J. H. Elias, N. P. van der Meijs Extracting Circuit Models for Large RC Interconnections that are Accurate up to a Predefined Signal Frequency. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Diana Marculescu, Radu Marculescu, Massoud Pedram Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Ishwar Parulkar, Sandeep K. Gupta 0001, Melvin A. Breuer Lower Bounds on Test Resources for Scheduled Data Flow Graphs. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Jianmin Li, John Lillis, Lung-Tien Liu, Chung-Kuan Cheng New Spectral Linear Placement and Clustering Approach. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Laurence Goodby, Alex Orailoglu Pseudorandom-Pattern Test Resistance in High-Performance DSP Datapaths. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Arjan J. van Genderen, N. P. van der Meijs Using Articulation Nodes to Improve the Efficiency of Finite-Element based Resistance Extraction. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28L. Richard Carley, Georges G. E. Gielen, Rob A. Rutenbar, Willy M. C. Sansen Synthesis Tools for Mixed-Signal ICs: Progress on Frontend and Backend Strategies. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Olivier Coudert, Ramsey W. Haddad, Srilatha Manne New Algorithms for Gate Sizing: A Comparative Study. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Ásgeir Th. Eiríksson Integrating Formal Verification Methods with A Conventional Project Design Flow. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Mike Murray, Uwe B. Meding, Bill Berg, Yatin Trivedi, Bill McCaffrey, Ted Vucurevich Issues and Answers in CAD Tool Interoperability. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Bernhard Wunder, Gunther Lehmann, Klaus D. Müller-Glaser VAMP: A VHDL-Based Concept for Accurate Modeling and Post Layout Timing Simulation of Electronic Systems. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Peichen Pan, C. L. Liu 0001 Optimal Clock Period FPGA Technology Mapping for Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Val Popescu, Bill McNamara Innovative Verification Strategy Reduces Design Cycle Time for High-End Sparc Processor. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Tetsuya Fujimoto, Takashi Kambe VLSI Design and System Level Verification for the Mini-Disc. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Ilan Beer, Shoham Ben-David, Cindy Eisner, Avner Landver RuleBase: An Industry-Oriented Formal Verification Tool. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Danny Z. Chen, Xiaobo Hu 0001 Efficient Approximation Algorithms for Floorplan Area Minimization. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Tony Stornetta, Forrest Brewer Implementation of an Efficient Parallel BDD Package. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Eric W. Johnson, Luis A. Castillo, Jay B. Brockman Application of a Markov Model to the Measurement, Simulation, and Diagnosis of an Iterative Design Process. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28John W. Hagerman, Stephen W. Director Improved Tool and Data Selection in Task Management. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Bogdan Tutuianu, Florentin Dartu, Lawrence T. Pileggi An Explicit RC-Circuit Delay Approximation Based on the First Three Moments of the Impulse Response. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Chin-Chi Teng, Yi-Kan Cheng, Elyse Rosenbaum, Sung-Mo Kang Hierarchical Electromigration Reliability Diagnosis for VLSI Interconnects. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Ehat Ercanli, Christos A. Papachristou A Register File and Scheduling Model for Application Specific Processor Synthesis. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Sasan Iman, Massoud Pedram POSE: Power Optimization and Synthesis Environment. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Jeffery P. Hansen, Masatoshi Sekine Synthesis by Spectral Translation Using Boolean Decision Diagrams. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Weikai Sun, Wayne Wei-Ming Dai, Wei Hong II Fast Parameters Extraction of General Three-Dimension Interconnects Using Geometry Independent Measured Equation of Invariance. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Joe G. Xi, Wayne Wei-Ming Dai Useful-Skew Clock Routing With Gate Sizing for Low Power Design. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Hisakazu Edamatsu, Satoshi Ikawa, Katsuya Hasegawa Design Methodologies for consumer-use video signal processing LSIs. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28De-Sheng Chen, Majid Sarrafzadeh An Exact Algorithm for Low Power Library-Specific Gate Re-Sizing. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Yi-Kan Cheng, Chin-Chi Teng, Abhijit Dharchoudhury, Elyse Rosenbaum, Sung-Mo Kang iCET: A Complete Chip-Level Thermal Reliability Diagnosis Tool for CMOS VLSI Chips. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 54915 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license