The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Microprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1975 (24) 1976 (15) 1977 (44) 1978 (21) 1979 (18) 1980 (29) 1981 (15) 1982 (23) 1983 (24) 1984 (23) 1985 (27) 1986-1987 (20) 1988-1989 (28) 1990 (17) 1991-1992 (23) 1993-1994 (38) 1995 (46) 1996 (44) 1997 (55) 1998 (63) 1999 (84) 2000 (99) 2001 (77) 2002 (125) 2003 (123) 2004 (156) 2005 (169) 2006 (174) 2007 (157) 2008 (144) 2009 (77) 2010 (47) 2011 (37) 2012 (32) 2013 (32) 2014 (24) 2015 (23) 2016 (22) 2017 (23) 2018 (16) 2019 (17) 2020 (20) 2021 (22) 2022-2023 (23) 2024 (10)
Publication types (Num. hits)
article(666) book(5) incollection(7) inproceedings(1598) phdthesis(53) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1754 occurrences of 998 keywords

Results
Found 2330 publication records. Showing 2330 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Yang Lin, Mark Zwolinski, Basel Halak A Low-Cost, Radiation-Hardened Method for Pipeline Protection in Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Jinhui Wang, Na Gong, Eby G. Friedman PNS-FCR: Flexible Charge Recycling Dynamic Circuit Technique for Low-Power Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Xin Li 0042, Xin Li, Wen Jiang 0002, Wei Zhou Optimising thermal sensor placement and thermal maps reconstruction for microprocessors using simulated annealing algorithm based on PCA. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Michael A. Skitsas, Chrysostomos A. Nicopoulos, Maria K. Michael DaemonGuard: Enabling O/S-Orchestrated Fine-Grained Software-Based Selective-Testing in Multi-/Many-Core Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Hai Wang 0002, Jian Ma 0002, Sheldon X.-D. Tan, Chi Zhang 0029, He Tang, Keheng Huang, Zhenghong Zhang Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Hongjun Dai, Chao Yan, Bin Gong, Jianfeng Yang Explore prediction for instruction level redundant execution in fault tolerant microprocessors. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Liwei Zhou, Yiorgos Makris Hardware-Based Workload Forensics and Malware Detection in Microprocessors. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Mohamed Abd El-Razek, Mohamed B. Abdelhalim, Hanady Hussien Issa Dynamic power reduction of microprocessors for IoT applications. Search on Bibsonomy ICM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Fabian Oboril, Mehdi Baradaran Tahoori Cross-Layer Approaches for an Aging-Aware Design Space Exploration for Microprocessors. Search on Bibsonomy ERMAVSS@DATE The full citation details ... 2016 DBLP  BibTeX  RDF
14Alberto Leva, Federico Terraneo, Silvano Seva, Irene Giacomello High-speed thermal management for power-dense microprocessors. Search on Bibsonomy CDC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Hwajeong Seo, Taehwan Park, Shinwook Heo, Gyuwon Seo, Bongjin Bae, Lu Zhou, Howon Kim 0001 Multi-precision Squaring for Public-Key Cryptography on Embedded Microprocessors, a Step Forward. Search on Bibsonomy WISA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Ching Zhou, Yu-Shiang Lin, Pong-Fei Lu, Bruce M. Fleischer, David J. Frank, Leland Chang Synthesis design strategies for energy-efficient microprocessors. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Abbas A. Fairouz, Monther Abusultan, Sunil P. Khatri A novel hardware hash unit design for modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Tsai-Kan Chien, Lih-Yih Chiou, Yao-Chun Chuang, Shyh-Shyuan Sheu, Heng-Yuan Li, Pei-Hua Wang, Tzu-Kun Ku, Ming-Jinn Tsai, Chih-I Wu A low store energy and robust ReRAM-based flip-flop for normally off microprocessors. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14George Papadimitriou 0001, Athanasios Chatzidimitriou, Dimitris Gizopoulos, Ronny Morad ISA-independent post-silicon validation for the address translation mechanisms of modern microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Jose Isaza-Gonzalez, Alejandro Serrano-Cases, Felipe Restrepo-Calle, Sergio Cuenca-Asensi, Antonio Martínez-Álvarez Dependability evaluation of COTS microprocessors via on-chip debugging facilities. Search on Bibsonomy LATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Jyun-Yan Li, Ing-Jer Huang Full system verification of compatible microprocessors with a dual physical core verification platform. Search on Bibsonomy ISOCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Yongwen Wang, Libo Huang, Zhong Zheng A Methodology for Performance Verification of Microprocessors. Search on Bibsonomy NCCET The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Itaru Hida, Masayuki Ikebe, Tetsuya Asai, Masato Motomura A 2-clock-cycle Naïve Bayes classifier for dynamic branch prediction in pipelined RISC microprocessors. Search on Bibsonomy APCCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Guang-Ming Tang Studies on Datapath Circuits for Superconductor Bit-Slice Microprocessors. Search on Bibsonomy 2016   RDF
14Na Gong, Jinhui Wang, Shixiong Jiang, Ramalingam Sridhar TM-RF: Aging-Aware Power-Efficient Register File Design for Modern Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Michail Maniatakos, Maria K. Michael, Chandra Tirumurti, Yiorgos Makris Revisiting Vulnerability Analysis in Modern Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Joonho Kong, Farinaz Koushanfar, Sung Woo Chung An Energy-Efficient Last-Level Cache Architecture for Process Variation-Tolerant 3D Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Felipe Restrepo-Calle, Sergio Cuenca-Asensi, Antonio Martínez-Álvarez, Eduardo Chielle, Fernanda Lima Kastensmidt Application-Based Analysis of Register File Criticality for Reliability Assessment in Embedded Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Esam A. Al Qaralleh, Khalid A. Darabkh A new method for teaching microprocessors course using emulation. Search on Bibsonomy Comput. Appl. Eng. Educ. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Hyejeong Hong, Jaeil Lim, Hyunyul Lim, Sungho Kang Lifetime Reliability Enhancement of Microprocessors: Mitigating the Impact of Negative Bias Temperature Instability. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Hwajeong Seo, Zhe Liu 0001, Jongseok Choi, Taehwan Park, Howon Kim 0001 Compact Implementations of LEA Block Cipher for Low-End Microprocessors. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2015 DBLP  BibTeX  RDF
14Artjom Jasnetski, Jaan Raik, Anton Tsertov, Raimund Ubar New Fault Models and Self-Test Generation for Microprocessors Using High-Level Decision Diagrams. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Ming-Tang Chen, Che-Min Lin Design and implementation of a smart home energy saving system by multi-microprocessors. Search on Bibsonomy ICCE-TW The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Meha Kainth, Lekshmi Krishnan, Chaitra Narayana, Sandesh Gubbi Virupaksha, Russell Tessier Hardware-assisted code obfuscation for FPGA soft microprocessors. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
14Jeremy Constantin, Lai Wang, Georgios Karakonstantis, Anupam Chattopadhyay, Andreas Burg Exploiting dynamic timing margins in microprocessors for frequency-over-scaling with instruction-based clock adjustment. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
14Fabian Oboril, Jos Ewert, Mehdi Baradaran Tahoori High-resolution online power monitoring for modern microprocessors. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
14Timothy Hayes 0001, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Mateo Valero VSR sort: A novel vectorised sorting algorithm & architecture extensions for future microprocessors. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Hongjun Dai, Chao Yan, Bin Gong, Zhun Yang, Tianzhou Chen Exploring Predictable Redundant Instruction Parallelism in Fault Tolerant Microprocessors. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Hwajeong Seo, Zhe Liu 0001, Jongseok Choi, Taehwan Park, Howon Kim 0001 Compact Implementations of LEA Block Cipher for Low-End Microprocessors. Search on Bibsonomy WISA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Yan Lu 0002, Junmin Jiang, Wing-Hung Ki, C. Patrick Yue, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins 20.4 A 123-phase DC-DC converter-ring with fast-DVS for microprocessors. Search on Bibsonomy ISSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Pieter W. M. Koopman, Rinus Plasmeijer Type-Safe Functions and Tasks in a Shallow Embedded DSL for Microprocessors. Search on Bibsonomy CEFP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Shervin Vakili, J. M. Pierre Langlois, Guy Bois Designing customized microprocessors for fixed-point computation. Search on Bibsonomy AHS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Fabian Oboril, Mehdi Baradaran Tahoori Cross-layer approaches for an aging-aware design of nanoscale microprocessors: Dissertation summary: IEEE TTTC E.J. McCluskey doctoral thesis award competition finalist. Search on Bibsonomy ITC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Sasko Ristov, Nevena Ackovska, Vesna Kirandziska Positive experience of the project gamification in the microprocessors and Microcontrollers course. Search on Bibsonomy EDUCON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Fabian Oboril Cross-Layer Approaches for an Aging-Aware Design of Nanoscale Microprocessors. Search on Bibsonomy 2015   RDF
14Nikolaos Foutris Architectures for dependable modern microprocessors Search on Bibsonomy 2015   RDF
14Andreas Koltes Reconfigurable memory systems for embedded microprocessors. Search on Bibsonomy 2015   RDF
14Georgios Theodorou, Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos Software-Based Self-Test for Small Caches in Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Alexander S. Kamkin, T. I. Sergeeva, Sergey A. Smolov, A. D. Tatarnikov, Mikhail M. Chupilko Extensible environment for test program generation for microprocessors. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Rance Rodrigues, Arunachalam Annamalai, Sandip Kundu A low-power instruction replay mechanism for design of resilient microprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Hwajeong Seo, Yeoncheol Lee, Hyunjin Kim, Taehwan Park, Howon Kim 0001 Binary and prime field multiplication for public key cryptography on embedded microprocessors. Search on Bibsonomy Secur. Commun. Networks The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Pong-Fei Lu, Keith A. Jenkins, Tobias Webel, Oliver Marquardt, Birgit Schubert Long-term NBTI degradation under real-use conditions in IBM microprocessors. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Juan M. Cebrian, Lasse Natvig, Jan Christian Meyer Performance and energy impact of parallelization and vectorization techniques in modern microprocessors. Search on Bibsonomy Computing The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Chris Shire Microprocessors and Microcontrollers Security. Search on Bibsonomy Secure Smart Embedded Devices, Platforms and Applications The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Fernando Akira Endo, Damien Couroussé, Henri-Pierre Charles Micro-architectural simulation of in-order and out-of-order ARM microprocessors with gem5. Search on Bibsonomy ICSAMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Ami Marowka Energy-Efficient Management of DVFS-Enabled Integrated Microprocessors. Search on Bibsonomy PDP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Lukás Charvát, Ales Smrcka, Tomás Vojnar Using Formal Verification of Parameterized Systems in RAW Hazard Analysis in Microprocessors. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Hu Chen, Sanghamitra Roy, Koushik Chakraborty DARP: Dynamically Adaptable Resilient Pipeline design in microprocessors. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Masaaki Kondo, Hiroaki Kobayashi, Ryuichi Sakamoto, Motoki Wada, Jun Tsukamoto, Mitaro Namiki, Weihan Wang, Hideharu Amano, Kensaku Matsunaga, Masaru Kudo, Kimiyoshi Usami, Toshiya Komoda, Hiroshi Nakamura Design and evaluation of fine-grained power-gating for embedded microprocessors. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Sih-Sian Wu, Kanwen Wang, Sai Manoj Pudukotai Dinakarrao, Tsung-Yi Ho, Mingbin Yu, Hao Yu 0001 A thermal resilient integration of many-core microprocessors and main memory by 2.5D TSI I/Os. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Kimiyoshi Usami, Masaru Kudo, Kensaku Matsunaga, Tsubasa Kosaka, Yoshihiro Tsurui, Weihan Wang, Hideharu Amano, Hiroaki Kobayashi, Ryuichi Sakamoto, Mitaro Namiki, Masaaki Kondo, Hiroshi Nakamura Design and control methodology for fine grain power gating based on energy characterization and code profiling of microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Hwajeong Seo, Jongseok Choi, Hyunjin Kim, Taehwan Park, Howon Kim 0001 Pseudo random number generator and Hash function for embedded microprocessors. Search on Bibsonomy WF-IoT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Andreas Abel 0002, Jan Reineke 0001 Reverse engineering of cache replacement policies in Intel microprocessors and their evaluation. Search on Bibsonomy ISPASS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Sasko Ristov, Nevena Ackovska, Vesna Kirandziska, Darko Martinovikj The significant progress of the Microprocessors and Microcontrollers course for computer science students. Search on Bibsonomy MIPRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Pei-Shu Huang, Quan-Chung Chen, Chen-Wei Huang, Shiao-Li Tsao An efficient thermal estimation scheme for microprocessors. Search on Bibsonomy RTCSA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Ping Gao 0002 Improving the efficiency of automated debugging of pipelined microprocessors by symmetry breaking in modular schemes for boolean encoding of cardinality. Search on Bibsonomy ICCAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14George Theodorou, Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos Power-aware optimization of software-based self-test for L1 caches in microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Raimund Ubar, Anton Tsertov, Artjom Jasnetski, Marina Brik Software-based self-test generation for microprocessors with high-level decision diagrams. Search on Bibsonomy LATW The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Cristian Constantinescu, Srini Krishnamoorthy, Tuyen Nguyen Estimating the effect of single-event upsets on microprocessors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Joseph Sankman, Minkyu Song, Dongsheng Ma 0001 A 40-MHz current-mode hysteretic controlled switching converter with digital push-pull current pumping technique for high performance microprocessors. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Matthias Boettcher Memory and functional unit design for vector microprocessors. Search on Bibsonomy 2014   RDF
14Joseph S. Shor, Kosta Luria Miniaturized BJT-Based Thermal Sensor for Microprocessors in 32- and 22-nm Technologies. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Timothy N. Miller, Nagarjuna Surapaneni, Radu Teodorescu Runtime failure rate targeting for energy-efficient reliability in chip microprocessors. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Feng Yu 0003, Rui-feng Ge, Ze-ke Wang Efficient Utilization of Vector Registers to Improve FFT Performance on SIMD Microprocessors. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Xin Li, Mengtian Rong, Ruolin Wang, Tao Liu 0032, Liang Zhou Reducing the number of sensors under hot spot temperature error bound for microprocessors based on dual clustering. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Sangyoung Park, Jaehyun Park 0005, Donghwa Shin, Yanzhi Wang, Qing Xie 0001, Massoud Pedram, Naehyuck Chang Accurate Modeling of the Delay and Energy Overhead of Dynamic Voltage and Frequency Scaling in Modern Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Daniele Rossi 0001, Martin Omaña 0001, G. Garrammone, Cecilia Metra, Abhijit Jas, Rajesh Galivanche Low Cost Concurrent Error Detection Strategy for the Control Logic of High Performance Microprocessors and Its Application to the Instruction Decoder. Search on Bibsonomy J. Electron. Test. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Sandip Ray, Jay Bhadra, Magdy S. Abadir, Li-C. Wang Guest Editorial: Test and Verification Challenges for Future Microprocessors and SoC Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Naghmeh Karimi, Michail Maniatakos, Chandrasekharan Tirumurti, Yiorgos Makris On the Impact of Performance Faults in Modern Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Hai Wang 0002, Sheldon X.-D. Tan, Duo Li, Ashish Gupta 0007, Yuan Yuan 0030 Composable thermal modeling and simulation for architecture-level thermal designs of multicore microprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Rance Rodrigues, Arunachalam Annamalai, Israel Koren, Sandip Kundu A Study on the Use of Performance Counters to Estimate Power in Microprocessors. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Gürhan Küçük, Isa Ahmet Güney, Dmitry Ponomarev 0001 Instruction Scheduling in Microprocessors. Search on Bibsonomy Automated Scheduling and Planning The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Kristopher Carver Microprocessors for roots-of-trust. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Luca Rizzon, Maurizio Rossi 0001, Roberto Passerone, Davide Brunelli Wireless sensor networks for environmental monitoring powered by microprocessors heat dissipation. Search on Bibsonomy ENSSys@SenSys The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Andrea Manuzzato, Fabio Campi, Valentino Liberali, Davide Pandini Design methodology for low-power embedded microprocessors. Search on Bibsonomy PATMOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Paolo Bernardi, D. Boyang, Lyl M. Ciganda, Ernesto Sánchez 0001, Matteo Sonza Reorda, Michelangelo Grosso, Oscar Ballan A functional test algorithm for the register forwarding and pipeline interlocking unit in pipelined microprocessors. Search on Bibsonomy IDT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Satoshi Jo, Amir Masoud Gharehbaghi, Takeshi Matsumoto, Masahiro Fujita Rectification of advanced microprocessors without changing routing on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Kanwen Wang, Hao Yu 0001, Benfei Wang, Chun Zhang 3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Nikhil A. Patil, Derek Chiou Implementing microprocessors from simplified descriptions. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Zao Liu, Tailong Xu, Sheldon X.-D. Tan, Hai Wang 0002 Dynamic thermal management for multi-core microprocessors considering transient thermal effects. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Srikar Bhagavatula, Byunghoo Jung A power sensor with 80ns response time for power management in microprocessors. Search on Bibsonomy CICC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Samuel Euzédice de Lucena Internal combustion engine's throttle control as a motivational theme for teaching microprocessors systems lab classes. Search on Bibsonomy FIE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Lichen Weng, Chen Liu 0001, Jean-Luc Gaudiot Scheduling optimization in multicore multithreaded microprocessors through dynamic modeling. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Nikos Foutris, Dimitris Gizopoulos, John Kalamatianos, Vilas Sridharan Assessing the impact of hard faults in performance components of modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Oscar Ballan, Paolo Bernardi, B. Yazdani, Ernesto Sánchez 0001 A software-based self-test strategy for on-line testing of the scan chain circuitries in embedded microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Arkady Bramnik, Andrei Sherban, Norbert Seifert Timing vulnerability factors of sequential elements in modern microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Hwajeong Seo, Zhe Liu 0001, Jongseok Choi, Howon Kim 0001 Multi-precision Squaring for Public-Key Cryptography on Embedded Microprocessors. Search on Bibsonomy INDOCRYPT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Zao Liu, Xin Huang 0003, Sheldon X.-D. Tan, Hai Wang 0002, He Tang Distributed task migration for thermal hot spot reduction in many-core microprocessors. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Na Gong, Jinhui Wang, Ramalingam Sridhar Application-driven power efficient ALU design methodology for modern microprocessors. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Volodymyr Kochan, Orest Kochan, Olexandr Osolinskiy Method of microprocessors average energy consumption measurements. Search on Bibsonomy IDAACS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Yaqing Chi, Yibai He, Bin Liang, Chunmei Hu A Scan Chain Based SEU Test Method for Microprocessors. Search on Bibsonomy NCCET The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Tanay Karnik, Mondira (Mandy) Deb Pant, Shekhar Borkar Power management and delivery for high-performance microprocessors. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Koushik Chakraborty, Brennan Cozzens, Sanghamitra Roy, Dean Michael Ancajas Efficiently tolerating timing violations in pipelined microprocessors. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Sanu Mathew, Suresh Srinivasan, Mark A. Anders 0001, Himanshu Kaul, Steven Hsu, Farhana Sheikh, Amit Agarwal 0001, Sudhir Satpathy, Ram Krishnamurthy 0001 2.4 Gbps, 7 mW All-Digital PVT-Variation Tolerant True Random Number Generator for 45 nm CMOS High-Performance Microprocessors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 2330 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license