The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ReConFig"( http://dblp.L3S.de/Venues/ReConFig )

URL (DBLP): http://dblp.uni-trier.de/db/conf/reconfig

Publication years (Num. hits)
2005 (29) 2006 (42) 2008 (77) 2009 (78) 2010 (79) 2011 (85) 2012 (68) 2013 (84) 2014 (86) 2015 (80) 2016 (56) 2017 (53) 2018 (40) 2019 (43)
Publication types (Num. hits)
inproceedings(886) proceedings(14)
Venues (Conferences, Journals, ...)
ReConFig(900)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 389 occurrences of 238 keywords

Results
Found 900 publication records. Showing 900 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Steve Trimberger Keynote 1 - Moore's law, programmable logic and reconfigurable systems. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jan Heisswolf, Maximilian Singh, Martin Kupper, Ralf König 0001, Jürgen Becker 0001 Rerouting: Scalable NoC self-optimization by distributed hardware-based connection reallocation. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Omar Ahmed, Shawki Areibi An efficient application-specific instruction-set processor for packet classification. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jahanzeb Anwer, Sebastian Meisner, Marco Platzner Dynamic reliability management: Reconfiguring reliability-levels of hardware designs at runtime. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jun Rong Wang, Dan Wang, Jin-Mei Lai A hierarchical parallel evolvable hardware based on network on chip. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Swapnil Haria, Viktor K. Prasanna Optimal mapping of multiple packet lookup schemes onto FPGA. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013 Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  BibTeX  RDF
1Hamed Sajjadi Kia, Mohammad A. Zare, Rajesh G. Kavasseri, Cristinel Ababei Dynamic simulation of direct torque control of induction motors with FPGA based accelerators. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Keisuke Dohi, Kota Fukumoto, Yuichiro Shibata, Kiyoshi Oguri Performance modeling and optimization of 3-D stencil computation on a stream-based FPGA accelerator. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Maya B. Gokhale Keynote 3 - Extreme scale challenges: Can reconfigurable computing come to the rescue? Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christian de Schryver, Philipp Schläfer, Norbert Wehn, Thomas Fischer 0003, Arnd Poetzsch-Heffter Loopy - An open-source TCP/IP rapid prototyping and validation framework. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Guillaume Reymond, Victor Murillo A hardware pipelined architecture of a scalable Montgomery modular multiplier over GF(2m). Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Roberto Perez-Andrade, César Torres-Huitzil, René Cumplido, Juan M. Campos Processor arrays generation for matrix algorithms used in embedded platforms. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yu Bai 0004, Mohammed Alawad, Michael Riera, Mingjie Lin Improving memory performance in reconfigurable computing architecture through hardware-assisted dynamic graph. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sam Skalicky, Sonia López, Marcin Lukowiak Distributed execution of transmural electrophysiological imaging with CPU, GPU, and FPGA. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alberto Rodriguez-Garcia, Luis Pizano-Escalante, Ramón Parra-Michel, Omar Humberto Longoria-Gandara, Joaquín Cortez González Fast fixed-point divider based on Newton-Raphson method and piecewise polynomial approximation. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kevin L. Thomas, Michael S. Thompson Performance modeling of reconfigurable distributed systems based on the opensparc FPGA board and the SIRC communication framework. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Fabian May, Friedrich Mayer-Lindenberg ModHDL: A modular and expandable language for developing synchronous hardware. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Marco Antonio Soto Hernandez, Oscar Alvarado Nava, Eduardo Rodriguez-Martinez, Francisco Javier Zaragoza Martínez Tree-less Huffman coding algorithm for embedded systems. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Joshua S. Monson, Michael J. Wirthlin, Brad L. Hutchings Optimization techniques for a high level synthesis implementation of the Sobel filter. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Abdulhadi Shoufan A fault attack on a hardware-based implementation of the secure hash algorithm SHA-512. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Paolo Maistri, Sébastien Tiran, Philippe Maurine, Israel Koren, Régis Leveugle Countermeasures against EM analysis for a secured FPGA-based AES implementation. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zoltán Endre Rákossy, Axel Acosta-Aponte, Anupam Chattopadhyay Exploiting architecture description language for diverse IP synthesis in heterogeneous MPSoC. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Angel Gallego, Javier Mora 0001, Andrés Otero, Eduardo de la Torre, Teresa Riesgo A scalable evolvable hardware processing array. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1B. I. Gea-Garcia, J. L. Vázquez-Avila, Remberto Sandoval-Arechiga, J. L. Pizano-Escalante, Ramón Parra-Michel, Mario Siller NoC-based hardware function libraries for running multiple DSP algorithms. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Takeshi Hirao, Dahoo Kim, Itaru Hida, Tetsuya Asai, Masato Motomura A restricted dynamically reconfigurable architecture for low power processors. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michal Varchola, Milos Drutarovský, Viktor Fischer New universal element with integrated PUF and TRNG capability. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Victor Dumitriu, Lev Kirischian SoC self-integration mechanism for dynamic reconfigurable systems based on collaborative macro-function units. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Andrea Sanny, Viktor K. Prasanna Energy-efficient Median filter on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Timm Bostelmann, Sergei Sawitzki Improving FPGA placement with a self-organizing map. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Salvador Ibarra-Delgado, Manuel Hernandez Calviño, Nicolás Guil Mata, Juan Gómez-Luna A robust and low resource FPGA-based stereoscopic vision algorithm. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Remi Chaintreuil, Rie Uno, Hideharu Amano MCMA: A modular processing elements array based low-power coarse-grained reconfigurable accelerator. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Garbi Singla, Félix Tobajas, Valentin de Armas Video super resolution algorithm implemented on a low-cost NoC-based MPSoC platform. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chuan Shan, Eldar Zianbetov, Weiqiang Yu, François Anceau, Olivier Billoint, Dimitri Galayko FPGA prototyping of large reconfigurable ADPLL network for distributed clock generation. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Muhammed Al Kadi, Patrick Rudolph, Diana Göhringer, Michael Hübner 0001 Dynamic and partial reconfiguration of Zynq 7000 under Linux. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Miaoqing Huang, Shiming Li A delay-based PUF design using multiplexer chains. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qingyu Liu, Yuchun Ma, Yu Wang 0002, Wayne Luk, Jinian Bian RALP: Reconvergence-aware layer partitioning for 3D FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gary Plumbridge, Neil C. Audsley Programming FPGA based NoCs with Java. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Poona Bahrebar, Dirk Stroobandt The Hamiltonian-based odd-even turn model for adaptive routing in interconnection networks. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jo Vliegen, Nele Mentens, Ingrid Verbauwhede A single-chip solution for the secure remote configuration of FPGAs using bitstream compression. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Karim M. Abdellatif, Roselyne Chotin-Avot, Habib Mehrez Improved method for parallel AES-GCM cores using FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vinod Pangracious, Habib Mehrez, Nizar Beltaief, Zied Marrakchi, Umer Farooq 0001 Exploration environment for 3D heterogeneous tree-based FPGA architectures (3D HT-FPGA). Search on Bibsonomy ReConFig The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dawood Alnajiar, Masanori Hashimoto, Takao Onoye, Yukio Mitsuyama Static voltage over-scaling and dynamic voltage variation tolerance with replica circuits and time redundancy in reconfigurable devices. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gilberto Ochoa-Ruiz, Ouassila Labbani-Narsis, El-Bay Bourennane, Sana Cherif, Samy Meftali, Jean-Luc Dekeyser Facilitating IP deployment in a MARTE-based MDE methodology using IP-XACT: A Xilinx EDK case study. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Robin Bonamy, Daniel Chillet, Sébastien Bilavarn, Olivier Sentieys Power consumption model for partial and dynamic reconfiguration. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Johannes Romoth, Dirk Jungewelter, Jens Hagemeyer, Mario Porrmann, Ulrich Rückert 0001 Optimizing inter-FPGA communication by automatic channel adaptation. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pedro Cervantes Lozano, Luis Fernando González Pérez, Andrés David García García A VLSI architecture for the K-best Sphere-Decoder in MIMO systems. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Markus Happe, Hendrik Hangmann, Andreas Agne, Christian Plessl Eight ways to put your FPGA on fire - A systematic study of heat generators. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Bruno de Abreu Silva, Lucas Albers Cuminato, Vanderlei Bonato Reducing the overall cache miss rate using different cache sizes for Heterogeneous Multi-core Processors. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Carsten Tradowsky, Enrique Cordero, Thorsten Deuser, Michael Hübner 0001, Jürgen Becker 0001 Determination of on-chip temperature gradients on reconfigurable hardware. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kaveh Aasaraai, Andreas Moshovos SPREX: A soft processor with Runahead execution. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Oguzhan Erdem, Aydin Carus, Hoang Le Compact trie forest: Scalable architecture for IP lookup on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Matthias Birk, Matthias Norbert Balzer, Nicole V. Ruiter, Jürgen Becker 0001 Comparison of processing performance and architectural efficiency metrics for FPGAs and GPUs in 3D Ultrasound Computer Tomography. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Laurent Gantel, Mohamed El Amine Benkhelifa, Fabrice Lemonnier, François Verdier Module relocation in Heterogeneous Reconfigurable Systems-on-Chip using the Xilinx Isolation Design Flow. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alex A. Birklykke, Yannick Le Moullec, Lars K. Alminde, Ramjee Prasad An automated test framework for experimenting with stochastic behavior in reconfigurable logic. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pedro Vieira dos Santos, José Carlos Alves, João Canas Ferreira A scalable array for Cellular Genetic Algorithms: TSP as case study. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jeremy Abramson, Pedro C. Diniz Resiliency-aware Scheduling for reconfigurable VLIW processors. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Razvan Nane, Vlad Mihai Sima, Koen Bertels A lightweight speculative and predicative scheme for hardware execution. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1David Castells-Rufas, Oscar Vila-Closas, Jordi Carrabina Design of a multi-soft-core based Laser Marking controller. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Matthias Pohl, Michael Schaeferling, Gundolf Kiefer, Plamen Petrow, Egmont Woitzel, Frank Papenfuss An efficient and scalable architecture for real-time distortion removal and rectification of live camera images. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nashwa Elaraby, Iyad Obeid A model design of a 2560-channel neural spike detection platform. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yuki Nishitani, Kazuki Inoue, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi A novel physical defects recovery technique for FPGA-IP cores. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sen Ma, Miaoqing Huang, David Andrews 0001 Developing application-specific multiprocessor platforms on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andrés Otero, Eduardo de la Torre, Teresa Riesgo Dreams: A tool for the design of dynamically reconfigurable embedded and modular systems. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Turhan Karadeniz, Lotfi Mhamdi, Kees Goossens, J. J. Garcia-Luna-Aceves Hardware design and implementation of a Network-on-Chip based load balancing switch fabric. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Christina Gimmler-Dumont, Philipp Schläfer, Norbert Wehn FPGA-based rapid prototyping platform for MIMO-BICM design space exploration. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tobias Kenter, Henning Schmitz, Christian Plessl Pragma based parallelization - Trading hardware efficiency for ease of use? Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vincent Mirian, Paul Chow An implementation of a directory protocol for a cache coherent system on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohd Nazrin Md. Isa, Khaled Benkrid, Thomas Clayton A novel efficient FPGA architecture for HMMER acceleration. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lubos Gaspar, Viktor Fischer, Tim Güneysu, Zouha Cherif Jouini Two IP protection schemes for multi-FPGA systems. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cuong Pham-Quoc, Zaid Al-Ars, Koen Bertels A heuristic-based communication-aware hardware optimization approach in heterogeneous multicore systems. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mickaël Dardaillon, Cédric Lauradoux, Tanguy Risset Hardware implementation of the GPS authentication. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Benedikt Driessen, Tim Güneysu, Elif Bilge Kavun, Oliver Mischke, Christof Paar, Thomas Pöppelmann IPSecco: A lightweight and reconfigurable IPSec core. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hugo A. Andrade, Arkadeb Ghosal, Kaushik Ravindran, Brian L. Evans A methodology for the design and deployment of reliable systems on heterogeneous platforms. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Daniel Kliem, Sven-Ole Voigt A multi-core FPGA-based SoC architecture with domain segregation. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ling Liu, Jeremia Bär, Felix Friedrich, Jürg Gutknecht, Shiao-Li Tsao A low power configurable SoC for simulating delay-based audio effects. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andy Caley Data, Kent Gilson Isolation of behavior design from system implementation. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Adrian Alin Lifa, Petru Eles, Zebo Peng Minimization of average execution time based on speculative FPGA configuration prefetch. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rahul R. Sharma, Yamuna Rajasekhar, Ron Sass Exploring hardware work queue support for lightweight threads in MPSoCs. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jose Hugo Barron-Zambrano, César Torres-Huitzil, Horacio Rostro-González Versatile FPGA-based locomotion platform for legged robots. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vianney Lapotre, Guy Gogniat, Jean-Philippe Diguet, Salim Haddad, Amer Baghdadi An analytical approach for sizing of heterogeneous multiprocessor flexible platforms for iterative demapping and channel decoding. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zoltán Endre Rákossy, Tejas Naphade, Anupam Chattopadhyay Design and analysis of layered coarse-grained reconfigurable architecture. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tannous Frangieh, Peter Athanas A design assembly framework for FPGA back-end acceleration. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Luis Morales-Velazquez, Roque Alfredo Osornio-Rios, René de Jesús Romero-Troncoso FPGA embedded single-cycle 16-bit microprocessor and tools. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tobias Ziermann, Alexander Butiu, Jürgen Teich, Daniel Ziener FPGA-based testbed for timing behavior evaluation of the Controller Area Network (CAN). Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ashraful Alam, Zain-ul-Abdin, Bertil Svensson Parallelization of the estimation algorithm of the 3D structure tensor. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andrey Bogdanov, Amir Moradi 0001, Tolga Yalçin Efficient and side-channel resistant authenticated encryption of FPGA bitstreams. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Venkatasubramanian Viswanathan, Benjamin Nakache, Rabie Ben Atitallah, Maurice Nakache, Jean-Luc Dekeyser Dynamic reconfiguration of modular I/O IP cores for avionic applications. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1A. D. Santana Gil, Manuel Hernandez Calviño, Francisco Javier Quiles-Latorre, Ezequiel Herruzo Gomez, José Ignacio Benavides Benítez Optimizing the physical implementation of a reconfigurable cache. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Da Tong, Yi-Hua E. Yang, Viktor K. Prasanna A memory efficient IPv6 lookup engine on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mariem Turki, Habib Mehrez, Zied Marrakchi Multi-FPGA prototyping environment: Large benchmark generation and signals routing. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Karim M. Abdellatif, Roselyne Chotin-Avot, Habib Mehrez Efficient parallel-pipelined GHASH for message authentication. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Marc-André Daigneault, Jean-Pierre David Synchronized-transfer-level design methodology applied to hardware matrix multiplication. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Paulo C. Santos 0001, Gabriel L. Nazar, Luigi Carro, Fakhar Anjam, Stephan Wong Adapting communication for adaptable processors: A multi-axis reconfiguration approach. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yukinori Sato, Yasushi Inoguchi, Wayne Luk, Tadao Nakamura Evaluating reconfigurable dataflow computing using the Himeno benchmark. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andrey Bogdanov, Elif Bilge Kavun, Elmar Tischhauser, Tolga Yalçin Efficient reconfigurable hardware architecture for accurately computing success probability and data complexity of linear attacks. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012 Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  BibTeX  RDF
1Shweta Jain-Mendon, Ron Sass A case study of streaming storage format for sparse matrices. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Swapnil Haria, Thilan Ganegedara, Viktor K. Prasanna Power-efficient and scalable virtual router architecture on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jaime J. Garnica, Sergio López-Buedo, Víctor López 0004, Javier Aracil 0001, José María Gómez Hidalgo A FPGA-based scalable architecture for URL legal filtering in 100GbE networks. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 900 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license