The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "SBCCI"( http://dblp.L3S.de/Venues/SBCCI )

URL (DBLP): http://dblp.uni-trier.de/db/conf/sbcci

Publication years (Num. hits)
1998 (54) 1999-2000 (64) 2001 (38) 2002 (62) 2003 (59) 2004 (58) 2005 (51) 2006 (48) 2007 (73) 2008 (51) 2009 (55) 2010 (41) 2011 (43) 2012 (36) 2013 (43) 2014 (44) 2015 (44) 2016 (41) 2017 (37) 2018 (46) 2019 (35) 2020 (41) 2022 (48) 2023 (39)
Publication types (Num. hits)
inproceedings(1126) proceedings(25)
Venues (Conferences, Journals, ...)
SBCCI(1151)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 843 occurrences of 474 keywords

Results
Found 1151 publication records. Showing 1151 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Mayler G. A. Martins, Felipe S. Marranghello, Joseph S. Friedman, Alan V. Sahakian, Renato P. Ribas, André Inácio Reis Spin diode network synthesis using functional composition. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Fernando Paixão Cortes, Guilherme Freitas, Henrique Luiz Andrade Pimentel, Juan Pablo Martinez Brito, Fernando Chávez Low-Power/Low-Voltage analog front-end for LF passive RFID tag systems. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Iuri A. C. Gomes, Fernanda Gusmão de Lima Kastensmidt Reducing TMR overhead by combining approximate circuit, transistor topology and input permutation approaches. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vinicius N. Possani, Vinicius Callegaro, André Inácio Reis, Renato P. Ribas, Felipe S. Marques 0001, Leomar S. da Rosa Jr. Improving the methodology to build non-series-parallel transistor arrangements. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Juan Pablo Martinez Brito, Alain Rabaeijs CMOS smart temperature sensors for RFID applications. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1SungHa Jung, Myoung-Seob Lim, Yihu Xu, Dae Hyun Jo Implementation of split-radix FFT pruning for the reduction of computational complexity in OFDM based cognitive radio system. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum An evolutive approach for designing thermal and performance-aware heterogeneous 3D-NoCs. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christoph Roth, Harald Bucher, Simon Reder, Florian Buciuman, Oliver Sander, Jürgen Becker 0001 A SystemC modeling and simulation methodology for fast and accurate parallel MPSoC simulation. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Pedro F. G. da Silva, Eduardo Gonçalves de Lima Design of crest factor reduction techniques based on clipping and filtering for wireless communications systems. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ruhan A. Conceição, J. Claudio de Souza, Ricardo Jeske, Marcelo Schiavon Porto, Júlio C. B. de Mattos, Luciano Volcan Agostini Hardware design for the 32×32 IDCT of the HEVC video coding standard. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ismael Seidel, Bruno George de Moraes, André Beims Bräscher, José Luís Güntzel On the impacts of pel decimation and High-Vt/Low-Vdd on SAD calculation. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cicero Nunes, Paulo F. Butzen, André Inácio Reis, Renato P. Ribas A methodology to evaluate the aging impact on flip-flops performance. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Lucas C. Severo, Alessandro Girardi A methodology for the automatic design of operational amplifiers including yield optimization. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hamilton Klimach, Arthur Liraneto Torres Costa, Moacir Fernandes Cortinhas Monteiro, Sergio Bampi A resistorless switched bandgap voltage reference with offset cancellation. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Leandro Zafalon Pieper, Eduardo A. C. da Costa, José C. Monteiro 0001 Combination of radix-2m multiplier blocks and adder compressors for the design of efficient 2's complement 64-bit array multipliers. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Caio G. P. Alegretti, Vinícius Dal Bem, Renato P. Ribas, André Inácio Reis Analytical logical effort formulation for minimum active area under delay constraints. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Fernando de Souza Campos, José Alfredo Covolan Ulson, Jacobus W. Swart, M. Jamal Deen, Ognian Marinov, Dib Karam Temporal noise analysis and measurements of CMOS active pixel sensor operating in time domain. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tony Forzley, Ralph Mason A 14b threshold configurable dynamically latched comparator for SAR ADCs. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cecil Accetti R. de A. Melo, Ricardo E. de Souza FPGA-based digital direct-conversion transceiver for Nuclear Magnetic Resonance Systems. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Matheus T. Moreira, Ricardo A. Guazzelli, Ney Laert Vilar Calazans Return-to-one protocol for reducing static power in C-elements of QDI circuits employing m-of-n codes. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Thiago R. da Rosa, Vivian Larrea, Ney Calazans, Fernando Gehm Moraes Power consumption reduction in MPSoCs through DFS. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fabiane Rediess, Luciano Volcan Agostini, Cassio Cristani, Pargles Dall'Oglio, Marcelo Schiavon Porto High throughput hardware design for the Adaptive Loop Filter of the emerging HEVC video coding. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Carlos Augusto de Moraes Cruz, Israel L. Marinho, Davies William de Lima Monteiro Extended use of pseudo-flash reset technique for an active pixel with logarithmic compressed response. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Thiago N. C. Cardoso, Edna Barros, Bruno O. Prado, Andre Aziz Communication software synthesis from UML-ESL models. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1João Bispo, João M. P. Cardoso, José Monteiro 0001 Hardware pipelining of runtime-detected loops. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Henrique Luiz Andrade Pimentel, Sergio Bampi A 50MHz-lGHz wideband low noise amplifier in 130nm CMOS technology. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zubair Wadood Bhatti, Narasinga Rao Miniskar, Davy Preuveneers, Roel Wuyts, Yolande Berbers, Francky Catthoor Memory and communication driven spatio-temporal scheduling on MPSoCs. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jones Yudi Mori, Carlos H. Llanos, Pedro A. Berger Kernel analysis for architecture design trade off in convolution-based image filtering. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Edgar Mauricio Camacho-Galeano, Alfredo Olmos, Andre Vilas Boas A very low power area efficient CMOS only bandgap reference. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zia Abbas, Marat Yakupov, Mauro Olivieri, Andreas Ripp, Gunter Strube Yield optimization for low power current controlled current conveyor. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vinicius N. Possani, Felipe S. Marques 0001, Leomar S. da Rosa Jr., Vinicius Callegaro, André Inácio Reis, Renato P. Ribas NSP kernel finder - A methodology to find and to build non-series-parallel transistor arrangements. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yuri Gonzaga Gonçalves da Costa, José Antônio Gomes de Lima, Guilherme Navarro A low complexity lossless data compressor IP-core for satellite images. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jefferson Daniel de Barros Soldera, Julio Cesar Saldana, Cesar Giacomini Penteado, Hugo Daniel Hernández, Raul Acosta Hernandez, Fernando Chavez Porras, Marcos A. Valerio, Angelica dos Anjos, Paulo H. Trevisan On-chip 4to20mA reconfigurable current loop transmitter for smart sensor applications. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ygo N. Batista, Cristiano C. de Araújo, Abel G. Silva-Filho FPGA design for real time flaw detection on edges using the LEDges technique. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ricardo Vanni Dallasen, Gilson Inácio Wirth, Thiago Hanna Both A PLL for clock generation with automatic frequency control under TID effects. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Seyed-Hosein Attarzadeh-Niaki, Gilmar S. Beserra, Nikolaj Andersen, Mathias Verdon, Ingo Sander Heterogeneous system-level modeling for small and medium enterprises. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Renato Coral Sampaio, Pedro de Azevedo Berger, Ricardo Pezzuol Jacobi Hardware and software co-design for the AAC audio decoder. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tuhina Samanta, Hafizur Rahaman 0001, Parthasarathi Dasgupta Partitioning-based wirelength estimation technique for Y-routing. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sophie Drean, Nathalie Deltimple, Eric Kerherve, Baudouin Martineau, Didier Belot A 65nm CMOS 60 GHz class F-E power amplifier for WPAN applications. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hugo Daniel Hernández, Jonathan Scott, Wilhelmus A. M. Van Noije DPA insensitive voltage regulator for contact smart cards. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Felipe S. Marranghello, André Inácio Reis, Renato P. Ribas Design-oriented delay model for CMOS inverter. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Paulo Sérgio B. do Nascimento, Francisco A. S. Neves, Helber E. P. de Souza, Marco A. O. Domingues FPGA design methodology for DSP industrial applications - A case study of a three-phase positive-sequence detector. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Abdulaziz Alhussien, Nader Bagherzadeh, Freek Verbeek, Bernard van Gastel, Julien Schmaltz A formally verified deadlock-free routing function in a fault-tolerant NoC architecture. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jefferson B. D. Soldera, Michael Todd Berens, Alfredo Olmos A temperature compensated CMOS relaxation oscillator for low power applications. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cristiano Santos, Ricardo Reis 0001, Guilherme Godoi, Marcos Barros, Fabio Duarte Multi-bit flip-flop usage impact on physical synthesis. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fernanda D. V. R. Oliveira, Hugo de Lemos Haas, José Gabriel Rodríguez Carneiro Gomes, Antonio Petraglia Current-mode analog integrated circuit for focal-plane image compression. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Omer Malik, Ahmed Hemani A pragma based approach for mapping MATLAB applications on a coarse grained reconfigurable architecture. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 25th Symposium on Integrated Circuits and Systems Design, SBCCI 2012, Brasilia, Brazil, August 30 - September 2, 2012 Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  BibTeX  RDF
1Frank Sill Torres, Rodrigo Possamai Bastos Robust modular Bulk Built-in Current Sensors for detection of transient faults. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yan Ghidini, Thais Webber, Edson I. Moreno, Ivan Quadros, Rubem Dutra Ribeiro Fagundes, César A. M. Marcon Topological impact on latency and throughput: 2D versus 3D NoC comparison. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Bo Huang, Song Chen 0001, Wei Zhong, Takeshi Yoshimura Application-Specific Network-on-Chip synthesis with topology-aware floorplanning. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Heiner Alarcon Cubas, Joao Navarro Soares Jr. Top-down design for Low power Multi-bit Sigma-Delta Modulator. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum Hybrid-on-chip communication architecture for dynamic MP-SoC protection. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Everson Martins, Matheus A. Alejandro, Thais V. Fogaca Differential mixer with NMOS/PMOS stack at switching stage. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Saulo Finco, Wilmar Bueno de Moraes, Cristian O. Hamanaka, Claudionor Pereira Santos, José Carlos da Silva 0002, Ricardo Maltione Linear AGC for conditioning applications with adaptive time constant and frequency dependency. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Luciano Ost, Marcelo Mandelli, Gabriel Marchesan Almeida, Leandro Soares Indrusiak, Leandro Möller, Manfred Glesner, Gilles Sassatelli, Michel Robert, Fernando Moraes 0001 Exploring dynamic mapping impact on NoC-based MPSoCs performance using a model-based framework. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1José Rodrigo Azambuja, Samuel Pagliarini, Maurício Altieri, Fernanda Lima Kastensmidt, Michael Hübner 0001, Jürgen Becker 0001 Using dynamic partial reconfiguration to detect sees in microprocessors through non-intrusive hybrid technique. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum Dynamic NoC-based architecture for MPSoC security implementation. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Antonio Carlos Cavalcanti, Elmar U. K. Melcher, Jürgen Becker 0001 (eds.) 24th Symposium on Integrated Circuits and Systems Design, SBCCI '11, João Pessoa, Brazil, August 30 - September 2, 2011 Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  BibTeX  RDF
1Jones Yudi Mori, Daniel M. Muñoz Arboleda, Janier Arias-Garcia, Carlos Humberto Llanos Quintero, Jose M. Motta FPGA-based image processing for omnidirectional vision on mobile robots. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alexandre M. Amory, Cristiano Lazzari, Marcelo Lubaszewski, Fernando Gehm Moraes Early estimation of wire length for dedicated test access mechanisms in networks-on-chip based SoCs. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Claudius Feger Packaging issues for 22nm and beyond. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alba Sandyra Bezerra Lopes, Ivan Saraiva Silva, Luciano Volcan Agostini An efficient memory hierarchy for full search motion estimation on high definition digital videos. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tales Marchesan Chaves, Everton Alceu Carara, Fernando Gehm Moraes Energy-efficient cache coherence protocol for NoC-based MPSoCs. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Renato P. Ribas, Yuyang Sun, André Inácio Reis, André Ivanov Ring oscillators for functional and delay test of latches and flip-flops. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fernando Paixão Cortes, Rafael Schmidt, Laurent Courcelle, Murilo Pilon Pessatti Low-frequency passive RFID systems implementation in CMOS technology: design considerations and tradeoffs. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Bruno O. Prado, Edna Barros, Thiago Figueiredo, Andre Aziz HdSC: a fast and preemptive modeling for on host HdS development. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Marcelo Mandelli, Alexandre M. Amory, Luciano Ost, Fernando Gehm Moraes Multi-task dynamic mapping onto NoC-based MPSoCs. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Vinicius S. Livramento, Bruno George de Moraes, Brunno Abner Machado, José Luís Almada Güntzel An energy-efficient FDCT/IDCT configurable IP core for mobile multimedia platforms. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Guilherme Flach, Marcelo O. Johann, Ricardo Reis 0001 Quadratic placement with single-iteration linear system solver. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Vinicius Torres dos Reis, Ilan Schnitman Souza A 65nm VLSI implementation for the LTE turbo decoder. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Purushothaman Srinivasan Noise and reliability in advanced CMOS devices for low power applications. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Matthias Kühnle, André Wagner, Jürgen Becker 0001 A statistical power estimation methodology embedded in a SystemC code translator. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Raymond Quéré Key issues for the design of high power RF amplifiers. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Marcelo Schiavon Porto, Gustavo Sanchez, Diego Noble, Luciano Volcan Agostini, Sergio Bampi An efficient ME architecture for high definition videos using the new MPDS algorithm. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Anelise Kologeski, Caroline Concatto, Fernanda Lima Kastensmidt, Luigi Carro AdNoC case-study for Mpeg4 benchmark: improving performance and saving energy with an adaptive NoC. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Flávio Queiroz de Souza, Nobuo Oki Bandgap voltage reference with low susceptibility to electromagnetic interference (EMI). Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Angelo G. da Luz, Eduardo A. C. da Costa, Marilton S. de Aguiar Exploring the use of heuristic-based algorithms for the ordering and partitioning of coefficients for power efficient fir filters realization. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ricardo Almeida Araújo da Silva, Ana Isabela Araújo Cunha, Luciana Martinez Optimized sizing of analog circuits using the interior-point method for nonconvex nonlinear functions under MATLAB. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Vinícius Dal Bem, Paulo F. Butzen, Carlos Eduardo Klock, Vinicius Callegaro, André Inácio Reis, Renato P. Ribas Area impact analysis of via-configurable regular fabric for digital integrated circuit design. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniel Eduardo Silva Piovani, Márcio Cherem Schneider Design of a temperature-compensated voltage reference based on the MOSFET threshold voltage. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jose Lasa, Alfredo Arnaud, Joel Gak, Matías R. Miguez On the design of micro power practical GmC filters for biomedical applications. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Augusto Ronchini Ximenes, Jacobus W. Swart A CMOS continuous variable gain low-noise amplifier(LNA) for WLAN applications. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alexander Thomas, Michael Rückauer, Jürgen Becker 0001 HoneyComb: an application-driven online adaptive reconfigurable hardware architecture. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Thiago R. da Rosa, Guilherme Montez Guindani, Douglas de O. Cardoso, Ney Laert Vilar Calazans, Fernando Gehm Moraes A self-adaptable distributed DFS scheme for NoC-based MPSoCs. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christoph Roth, Oliver Sander, Jürgen Becker 0001 Flexible and efficient co-simulation of networked embedded devices. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wilmar Carvajal Ossa, Wilhelmus A. M. Van Noije Time-interleaved pipeline ADC design: a reconfigurable approach supported by optimization. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniel Palomino 0001, Guilherme Corrêa 0001, Cláudio Machado Diniz, Sergio Bampi, Luciano Volcan Agostini, Altamiro Amadeu Susin Algorithm and hardware design of a fast intra-frame mode decision module for h.264/AVC encoders. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sandro A. P. Haddad, Ivan Nascimento A high-speed low-power CMOS comparator using auto-zero offset cancellation technique. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ronaldo Husemann, Altamiro Amadeu Susin, Valter Roesler, José Valdeni de Lima Efficient hardware solution for practical intra h.264/SVC video encoder implementation. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1André Borin Soares, Alexsandro Cristovão Bonatto, Altamiro Amadeu Susin Integration issues on the development of an h.264/AVC video decoder SoC for SBTVD set top box. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fabricio G. S. Silva, Robson Nunes de Lima, Samy M. Nascimento, Raimundo Carlos Silvério Freire A concurrent dualband distributed impedance-matching network. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniel Pasti Mioni, William Prodanov, Pedro Miguel Luis Aboim, Paulo Augusto Dal Fabbro, Murilo Pilon Pessatti An ultra low power low voltage linear PMU for portable applications. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1João Navarro Jr., Gustavo Campos Martins Design of high speed digital circuits with E-TSPC cell library. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Felipe S. Marranghello, Vinícius Dal Bem, André Inácio Reis, Francesc Moll, Renato P. Ribas Transistor sizing in lithography-aware regular fabrics. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tiago Oliveira Weber, Wilhelmus A. M. Van Noije Analog design synthesis method using simulated annealing and particle swarm optimization. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniel P. Volpato, Alexandre Keunecke Ignácio Mendonça, José Luís Almada Güntzel, Luiz Cláudio Villar dos Santos Cache-tuning-aware scratchpad allocation from binaries. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Denis Teixeira Franco, Maí Correia Vasconcelos, Lirida A. B. Naviner, Jean-François Naviner On evaluating the signal reliability of self-checking arithmetic circuits. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Thaísa Leal da Silva, Luís Alberto da Silva Cruz, Luciano Volcan Agostini A novel macroblock-level filtering upsampling architecture for H.264/AVC scalable extension. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shaahin Haddadi Nejad, Ziaaddin Daie Kouzekanani, Jafar Sobhi, Iman Salami Fard, Kuresh Ghanbari A high speed, highly linear CMOS fully differential track and hold circuit. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1151 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license