The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLIW with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1989 (16) 1990-1991 (18) 1992-1993 (31) 1994 (15) 1995-1996 (31) 1997 (30) 1998 (24) 1999 (38) 2000 (54) 2001 (53) 2002 (67) 2003 (58) 2004 (61) 2005 (88) 2006 (71) 2007 (84) 2008 (55) 2009 (30) 2010 (25) 2011 (27) 2012 (31) 2013 (25) 2014 (29) 2015 (21) 2016 (21) 2017 (26) 2018-2019 (26) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(258) book(2) incollection(4) inproceedings(786) phdthesis(26)
Venues (Conferences, Journals, ...)
MICRO(44) DATE(38) ICCD(24) DAC(22) ASAP(21) CASES(19) IEEE Trans. Computers(17) IEEE Trans. Very Large Scale I...(15) IPDPS(15) ASP-DAC(14) ISSS(14) J. Signal Process. Syst.(13) VLSI Design(13) Euro-Par(12) IEEE PACT(12) ISCAS(12) More (+10 of total 312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 908 occurrences of 401 keywords

Results
Found 1076 publication records. Showing 1076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Anthony Brandon, Joost Hoozemans, Jeroen van Straten, Stephan Wong Exploring ILP and TLP on a Polymorphic VLIW Processor. Search on Bibsonomy ARCS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Sensen Hu, Anthony Brandon, Qi Guo, Yizhuo Wang Improving the Performance of Adaptive Cache in Reconfigurable VLIW Processor. Search on Bibsonomy ARC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Joost Hoozemans, Rolf Heij, Jeroen van Straten, Zaid Al-Ars VLIW-Based FPGA Computation Fabric with Streaming Memory Hierarchy for Medical Imaging Applications. Search on Bibsonomy ARC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Joost Hoozemans, Jeroen van Straten, Stephan Wong Using a polymorphic VLIW processor to improve schedulability and performance for mixed-criticality systems. Search on Bibsonomy RTCSA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys Run-time Instruction Replication for permanent and soft error mitigation in VLIW processors. Search on Bibsonomy NEWCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Rui Chang, Jun Wu 0006, Haoqi Ren A compilation method for zero overhead loop in DSPs with VLIW. Search on Bibsonomy WCSP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Christopher Seifert, Joachim Thiemann, Lukas Gerlach 0001, Tobias Volkmar, Guillermo Payá Vayá, Holger Blume, Steven van de Par Real-time implementation of a GMM-based binaural localization algorithm on a VLIW-SIMD processor. Search on Bibsonomy ICME The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Mohamed El-Hadedy 0001, Xinfei Guo, Mircea R. Stan, Kevin Skadron PPE-ARX: Area- and power-efficient VLIW programmable processing element for IoT crypto-systems. Search on Bibsonomy AHS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Cuong Pham-Quoc, Binh Kieu-Do-Nguyen, Anh-Vu Dinh-Duc BKVex: An Adaptable VLIW Processor and Design Framework for Reconfigurable Computing Platforms. Search on Bibsonomy ACOMP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Wei Huang, Zhonghe Guo, Xiaohua Song, Fei Sun A cluster-scalable VLIW cryptography processor with high performance and energy efficiency. Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Mohamed Najoui, Anas Hatim, Said Belkouch Novel parallel Givens QR decomposition implementation on VLIW architecture with Efficient memory access for real time image processing applications. Search on Bibsonomy BDCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Johnny Öberg Synthesis of VLIW Accelerators from Formal Descriptions in a Real-Time Multi-Core Environment. Search on Bibsonomy FPGAworld The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Hong Ye, Naijie Gu, Xiaoci Zhang, Chuanwen Lin An efficient conflict-free memory-addressing unit for SIMD VLIW DSP. Search on Bibsonomy SPECTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Bruce L. Jacob The Case for VLIW-CMP as a Building Block for Exascale. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yumin Hou, Hu He 0001, Xu Yang 0003, Deyuan Guo, Xu Wang, Jiawei Fu, Keni Qiu FuMicro: A Fused Microarchitecture Design Integrating In-Order Superscalar and VLIW. Search on Bibsonomy VLSI Design The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mahmood Ahmadi, Ehsan Zadkhosh A customized and reconfigurable VLIW-based packet classifier on ρ-VEX. Search on Bibsonomy J. High Speed Networks The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Vassilios A. Chouliaras, David Stevens, Vincent M. Dwyer VThreads: A novel VLIW chip multiprocessor with hardware-assisted PThreads. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Renan Augusto Starke, Andreu Carminati, Rômulo Silva de Oliveira Evaluating the Design of a VLIW Processor for Real-Time Systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Anderson L. Sartor, Arthur Francisco Lorenzon, Luigi Carro, Fernanda Lima Kastensmidt, Stephan Wong, Antonio C. S. Beck Exploiting Idle Hardware to Provide Low Overhead Fault Tolerance for VLIW Processors. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mona Aghababaeetafreshi, Lasse Lehtonen, Toni Levanen, Mikko Valkama, Jarmo Takala IEEE 802.11ac MIMO Transceiver Baseband Processing on a VLIW Processor. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Lei Yang, Lei Wang, Xing Zhang, Donglin Wang An approach to build cycle accurate full system VLIW simulation platform. Search on Bibsonomy Simul. Model. Pract. Theory The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mounir Bahtat, Said Belkouch, Philippe Elleaume, Philippe Le Gall Instruction scheduling heuristic for an efficient FFT in VLIW processors with balanced resource usage. Search on Bibsonomy EURASIP J. Adv. Signal Process. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Samuel J. Parker, Vassilios A. Chouliaras An OpenCL software compilation framework targeting an SoC-FPGA VLIW chip multiprocessor. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Tiago T. Jost, Gabriel L. Nazar, Luigi Carro Improving performance in VLIW soft-core processors through software-controlled scratchpads. Search on Bibsonomy SAMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Juan Sebastian Piedrahita Giraldo, Luigi Carro, Stephan Wong, Antonio C. S. Beck Leveraging Compiler Support on VLIW Processors for Efficient Power Gating. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Samira Nazari, Maryam Hassani, Ali Azarpeyvand An ultra-fast multi-objective optimization algorithm for VLIW architecture. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Keni Qiu, Weigong Zhang, Xiaoqiang Wu, Xiaoyan Zhu, Jing Wang 0055, Yuanchao Xu 0002, Chun Jason Xue Balanced loop retiming to effectively architect STT-RAM-based hybrid cache for VLIW processors. Search on Bibsonomy SAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Qi Guo, Anderson Luiz Sartor, Anthony Brandon, Antonio C. S. Beck, Xuehai Zhou, Stephan Wong Run-time phase prediction for a reconfigurable VLIW processor. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
16Ting-Yu Shyu, Bo-Yu Su, Tay-Jyi Lin, Chingwei Yeh, Jinn-Shyan Wang, Tien-Fu Chen Variable-length VLIW encoding for code size reduction in embedded processors. Search on Bibsonomy SoCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Anderson Luiz Sartor, Stephan Wong, Antonio C. S. Beck Adaptive ILP control to increase fault tolerance for VLIW processors. Search on Bibsonomy ASAP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Dan Iorga, Razvan Nane, Yi Lu 0004, Edwin van Dalen, Koen Bertels An Image Processing VLIW Architecture for Real-Time Depth Detection. Search on Bibsonomy SBAC-PAD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yang Su, Yuechuan Wei, Mingshu Zhang Research and Design of Dedicated Instruction for Reconfigurable Matrix Multiplication of VLIW Processor. Search on Bibsonomy INCoS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yong Zhao, Hans G. Kerkhoff A genetic algorithm based remaining lifetime prediction for a VLIW processor employing path delay and IDDX testing. Search on Bibsonomy DTIS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Boris Hübener Analyse verschiedener Architekturvarianten des CoreVA-VLIW-Prozessors. Search on Bibsonomy 2016   RDF
16Edith Beigné, Alexandre Valentian, Ivan Miro Panades, Robin Wilson, Philippe Flatresse, Fady Abouzeid, Thomas Benoist, Christian Bernard, Sebastien Bernard, Olivier Billoint, Sylvain Clerc, Bastien Giraud, Anuj Grover, Julien Le Coz, Jean-Philippe Noel, Olivier Thomas, Yvain Thonnart A 460 MHz at 397 mV, 2.6 GHz at 1.3 V, 32 bits VLIW DSP Embedding F MAX Tracking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Gorker Alp Malazgirt, Arda Yurdakul, Smaïl Niar Customizing VLIW processors from dynamically profiled execution traces. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Mostafa I. Soliman Merging VLIW and vector processing techniques for a simple, high-performance processor architecture. Search on Bibsonomy Microelectron. J. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xu Yang 0003, Mingbin Zeng, Yanjun Zhang Implementing and Optimizing of Entire System Toolkit of VLIW DSP Processors for Embedded Sensor-Based Systems. Search on Bibsonomy Sci. Program. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Joost Hoozemans, Stephan Wong, Zaid Al-Ars Using VLIW softcore processors for image processing applications. Search on Bibsonomy SAMOS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Anderson Luiz Sartor, Arthur Francisco Lorenzon, Luigi Carro, Fernanda Gusmão de Lima Kastensmidt, Stephan Wong, Antonio Carlos Schneider Beck A Novel Phase-Based Low Overhead Fault Tolerance Approach for VLIW Processors. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yong Zhao, Hans G. Kerkhoff Unit-Based Functional IDDT Testing for Aging Degradation Monitoring in a VLIW Processor. Search on Bibsonomy DSD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Mitsuru Tomono, Makiko Ito, Yoshitaka Nomura, Makoto Mouri, Yoshio Hirose An energy-efficient SIMD DSP with multiple VLIW configurations and an advanced memory access unit for LTE-A modem LSIs. Search on Bibsonomy ICMV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Joost Hoozemans, Jens Johansen, Jeroen van Straten, Anthony Brandon, Stephan Wong Multiple contexts in a multi-ported VLIW register file implementation. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Anthony Brandon, Joost Hoozemans, Jeroen van Straten, Arthur Francisco Lorenzon, Anderson Luiz Sartor, Antonio Carlos Schneider Beck, Stephan Wong A sparse VLIW instruction encoding scheme compatible with generic binaries. Search on Bibsonomy ReConFig The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Maurice Peemen, Wisnu Pramadi, Bart Mesman, Henk Corporaal VLIW Code Generation for a Convolutional Network Accelerator. Search on Bibsonomy SCOPES The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Haoqi Ren, Zhifeng Zhang, Jun Wu 0006 A VLIW DSP for communication applications. Search on Bibsonomy IGSC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Stephan Nolting, Guillermo Payá Vayá, Florian Giesemann, Holger Blume Exploring Dynamic Reconfigurable CORDIC Co-Processors Tightly Coupled with a VLIW-SIMD Soft-Processor Architecture. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Erkan Diken, Lech Józwiak A compilation technique and performance profits for VLIW with heterogeneous vectors. Search on Bibsonomy MECO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Lichao Zhang, Xuetao Wu, Yiqiang Zhao Instruction-Level Instantaneous Power Modeling for VLIW Processor. Search on Bibsonomy UIC/ATC/ScalCom The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Juan Sebastian Piedrahita Giraldo, Anderson Luiz Sartor, Luigi Carro, Stephan Wong, Antonio Carlos Schneider Beck Evaluation of energy savings on a VLIW processor through dynamic issue-width adaptation. Search on Bibsonomy RSP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Guoyue Jiang, Zhaolin Li, Fang Wang, Shaojun Wei Scheduling stream programs with improving arithmetic unit usage on NoC-based VLIW multi-core architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Erkan Diken, Martin J. O'Riordan, Roel Jordans, Lech Józwiak, Henk Corporaal, David Moloney Mixed-length SIMD code generation for VLIW architectures with multiple native vector-widths. Search on Bibsonomy ASAP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yong Zhao, Hans G. Kerkhoff Application of functional IDDQ testing in a VLIW processor towards detection of aging degradation. Search on Bibsonomy DTIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Renan Augusto Starke, Andreu Carminati, Rômulo Silva de Oliveira Investigating a four-issue deterministic VLIW architecture for real-time systems. Search on Bibsonomy INDIN The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Samuel J. Parker An automated OpenCL FPGA compilation framework targeting a configurable, VLIW chip multiprocessor. Search on Bibsonomy 2015   RDF
16Yuanwu Lei, Lei Guo 0029, Yong Dou, Sheng Ma, Jinbo Xu FPGA Implementation of a Special-Purpose VLIW Structure for Double-Precision Elementary Function. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Cheng-Yu Lee, Min-Chin Hung, Rong-Guey Chang Instruction scheduling and transformation for a VLIW unified reduced instruction set computer/digital signal processor processor with shared register architecture. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Davide Sabena, Matteo Sonza Reorda, Luca Sterpone On the Automatic Generation of Optimized Software-Based Self-Test Programs for VLIW Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Shan Cao, Zhaolin Li, Fang Wang, Shaojun Wei Compiler-Assisted Leakage- and Temperature- Aware Instruction-Level VLIW Scheduling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yazhi Huang, Liang Shi, Jianhua Li 0003, Qing'an Li, Chun Jason Xue WCET-Aware Re-Scheduling Register Allocation for Real-Time Embedded Systems With Clustered VLIW Architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ittetsu Taniguchi, Kohei Aoki, Hiroyuki Tomiyama, Praveen Raghavan, Francky Catthoor, Masahiro Fukui Fast and Accurate Architecture Exploration for High Performance and Low Energy VLIW Data-Path. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Vincent Brost, Fan Yang 0019, Charles Meunier Flexible VLIW processor based on FPGA for efficient embedded real-time image processing. Search on Bibsonomy J. Real Time Image Process. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xu Yang 0003 Path-Dividing Based Scheduling Algorithm for Reducing Energy Consumption of Clustered VLIW Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Erkan Diken, Roel Jordans, Rosilde Corvino, Lech Józwiak, Henk Corporaal, Felipe Augusto Chies Construction and exploitation of VLIW ASIPs with heterogeneous vector-widths. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Zhibin Liang, Wei Zhang 0055, Yung-Cheng Ma Deadline-Constrained Clustered Scheduling for VLIW Architectures using Power-Gated Register Files. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Neeraj Goel, Anshul Kumar, Preeti Ranjan Panda Shared-port register file architecture for low-energy VLIW processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jinyong Lee, Jongwon Lee, Jongeun Lee, Yunheung Paek Improving performance of loops on DIAM-based VLIW architectures. Search on Bibsonomy LCTES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mounir Bahtat, Said Belkouch, Philippe Elleaume, Phillipe Le Gall Fast enumeration-based modulo scheduling heuristic for VLIW architectures. Search on Bibsonomy ICM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Davide Sabena, Matteo Sonza Reorda, Luca Sterpone Soft error effects analysis and mitigation in VLIW safety-critical applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xuemeng Zhang, Hui Wu 0001, Haiyan Sun, Jingling Xue Lifetime holes aware register allocation for clustered VLIW processors. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Oliver Stecklina, Michael Methfessel A Tiny Scale VLIW Processor for Real-Time Constrained Embedded Control Tasks. Search on Bibsonomy DSD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Miguel Tairum Cruz, Pedro Tomás, Nuno Roma Low-power vectorial VLIW architecture for maximum parallelism exploitation of dynamic programming algorithms. Search on Bibsonomy HPCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yangzhao Yang, Naijie Gu, Kaixin Ren, Bingqing Hu An Approach to Enhance Loop Performance for Multicluster VLIW DSP Processor. Search on Bibsonomy ARCS Workshops The full citation details ... 2014 DBLP  BibTeX  RDF
16Mona Aghababaeetafreshi, Lasse Lehtonen, Toni Levanen, Mikko Valkama, Jarmo Takala IEEE 802.11ac MIMO receiver baseband processing on customized VLIW processor. Search on Bibsonomy SiPS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Julian Hartig, Lukas Gerlach 0001, Guillermo Payá Vayá, Holger Blume Customizing a VLIW-SIMD application-specific instruction-set processor for hearing aid devices. Search on Bibsonomy SiPS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mona Aghababaeetafreshi, Lasse Lehtonen, Maliheh Soleimani, Mikko Valkama, Jarmo Takala IEEE 802.11AC MIMO transmitter baseband processing on customized VLIW processor. Search on Bibsonomy ICASSP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Martti Forsell, Jussi Roivainen REPLICA T7-16-128 - A 2048-threaded 16-core 7-FU chained VLIW chip multiprocessor. Search on Bibsonomy ACSSC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Roel Jordans, Lech Józwiak, Henk Corporaal Instruction-set architecture exploration of VLIW ASIPs using a genetic algorithm. Search on Bibsonomy MECO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Erkan Diken, Roel Jordans, Lech Józwiak, Henk Corporaal Construction and exploitation of VLIW asips with multiple vector-widths. Search on Bibsonomy MECO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Robin Wilson, Edith Beigné, Philippe Flatresse, Alexandre Valentian, Fady Abouzeid, Thomas Benoist, Christian Bernard, Sebastien Bernard, Olivier Billoint, Sylvain Clerc, Bastien Giraud, Anuj Grover, Julien Le Coz, Ivan Miro Panades, Jean-Philippe Noël, Bertrand Pelloux-Prayer, Philippe Roche, Olivier Thomas, Yvain Thonnart, David Turgis, Fabien Clermidy, Philippe Magarshack 27.1 A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding FMAX tracking. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Boris Hübener, Gregor Sievers, Thorsten Jungeblut, Mario Porrmann, Ulrich Rückert 0001 CoreVA: A Configurable Resource-Efficient VLIW Processor Architecture. Search on Bibsonomy EUC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Davide Patti, Maurizio Palesi, Vincenzo Catania Merging Compilation and Microarchitectural Configuration Spaces for Performance/Power Optimization in VLIW-Based Systems. Search on Bibsonomy CSOC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Taisong Jin, Minwook Ahn, Donghoon Yoo, Dongkwan Suh, Yoonseo Choi, Do Hyung Kim 0002, Shihwa Lee Nop compression scheme for high speed DSPs based on VLIW architecture. Search on Bibsonomy ICCE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Venkata Ganapathi Puppala A VLIW-Vector co-processor design for accelerating Basic Linear Algebraic Operations in OpenCV. Search on Bibsonomy VDAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Christoph W. Kessler Compiling for VLIW DSPs. Search on Bibsonomy Handbook of Signal Processing Systems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Xuemeng Zhang, Hui Wu 0001, Jingling Xue Instruction scheduling with k-successor tree for clustered VLIW processors. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jongwon Lee, Yohan Ko, Kyoungwoo Lee, Jonghee M. Youn, Yunheung Paek Dynamic code duplication with vulnerability awareness for soft error detection on VLIW architectures. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Yuanwu Lei, Yong Dou, Lei Guo 0029, Jinbo Xu, Jie Zhou 0007, Yazhuo Dong, Hongjian Li VLIW coprocessor for IEEE-754 quadruple-precision elementary functions. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jongwon Lee, Jonghee M. Youn, Doosan Cho, Yunheung Paek Reducing instruction bit-width for low-power VLIW architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Petr Pfeifer, Zdenek Plíva, Mario Schölzel, Tobias Koal, Heinrich Theodor Vierhaus On performance estimation of a scalable VLIW soft-core in XILINX FPGAs. Search on Bibsonomy DDECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Davide Sabena, Matteo Sonza Reorda, Luca Sterpone Partition-Based Faults Diagnosis of a VLIW Processor. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Davide Sabena, Matteo Sonza Reorda, Luca Sterpone On the development of diagnostic test programs for VLIW processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Amir Hossein Ashouri, Vittorio Zaccaria, Sotirios Xydis, Gianluca Palermo, Cristina Silvano A framework for Compiler Level statistical analysis over customized VLIW architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Anthony Brandon, Stephan Wong Support for dynamic issue width in VLIW processors using generic binaries. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Mian Muhammad Hamayun, Frédéric Pétrot, Nicolas Fournel Native simulation of complex VLIW instruction sets using static binary translation and Hardware-Assisted Virtualization. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Gregor Sievers, Peter Christ, Julian Einhaus, Thorsten Jungeblut, Mario Porrmann, Ulrich Rückert 0001 Design-space exploration of the configurable 32 bit VLIW processor CoreVA for signal processing applications. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Kalin Ovtcharov, Ilian Tili, J. Gregory Steffan TILT: A multithreaded VLIW soft processor family. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Kalin Ovtcharov, Ilian Tili, J. Gregory Steffan A Multithreaded VLIW Soft Processor Family. Search on Bibsonomy FCCM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Fakhar Anjam, Stephan Wong Configurable Fault-Tolerance for a Configurable VLIW Processor. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Roel Jordans, Rosilde Corvino, Lech Józwiak, Henk Corporaal Instruction-set architecture exploration strategies for deeply clustered VLIW ASIPs. Search on Bibsonomy MECO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license