The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for YALE with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1980 (15) 1982-1985 (16) 1986-1987 (18) 1988-1989 (16) 1990-1991 (40) 1992-1994 (22) 1995-1996 (21) 1997-1998 (28) 1999-2000 (22) 2001 (22) 2002-2003 (24) 2004 (24) 2005 (42) 2006 (47) 2007 (45) 2008 (47) 2009 (33) 2010 (30) 2011 (17) 2012-2013 (29) 2014-2015 (20) 2016 (26) 2017 (23) 2018-2019 (40) 2020 (24) 2021 (31) 2022 (36) 2023 (23) 2024 (3)
Publication types (Num. hits)
article(279) book(1) incollection(1) inproceedings(491) phdthesis(2) proceedings(10)
Venues (Conferences, Journals, ...)
MICRO(52) CoRR(50) ISCA(30) PEPM(30) TAG(22) APL(15) CVPR(14) Eur. J. Oper. Res.(11) IEEE Micro(11) IEEE Trans. Computers(10) FG(9) HPCA(8) Computer(7) ICPR(7) Int. J. Parallel Program.(7) ASPLOS(6) More (+10 of total 333)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 308 occurrences of 196 keywords

Results
Found 818 publication records. Showing 784 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Yale N. Patt Multi-core demands multi-interfaces. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, design, multicore, software interface
22José A. Joao, Onur Mutlu, Yale N. Patt Flexible reference-counting-based hardware acceleration for garbage collection. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF garbage collection, reference counting
22M. Aater Suleman, Onur Mutlu, Moinuddin K. Qureshi, Yale N. Patt Accelerating critical section execution with asymmetric multi-core architectures. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous cores, parallel programming, cmp, multi-core, locks, critical sections
22Hussein Naseraldin, Yale T. Herer Integrating the Number and Location of Retail Outlets on a Line with Replenishment Decisions. Search on Bibsonomy Manag. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22José A. Joao, Onur Mutlu, Hyesoon Kim, Yale N. Patt Dynamic Predication of Indirect Jumps. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Moinuddin K. Qureshi, Aamer Jaleel, Yale N. Patt, Simon C. Steely Jr., Joel S. Emer Set-Dueling-Controlled Adaptive Insertion for High-Performance Caching. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thrashing, set sampling, set dueling, cache, insertion, replacement
22Steve Jones 0001, Camille Johnson-Yale, Sarah Millermaier, Francisco Seoane Pérez Academic work, the Internet and U.S. college students. Search on Bibsonomy Internet High. Educ. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Yale N. Patt Can They Be Fixed: Some Thoughts After 40 Years in the Business. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Chang Joo Lee, Onur Mutlu, Veynu Narasiman, Yale N. Patt Prefetch-Aware DRAM Controllers. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Chang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Patt Performance-aware speculation control using wrong path usefulness prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Francis Tseng, Yale N. Patt Achieving Out-of-Order Performance with Almost In-Order Complexity. Search on Bibsonomy ISCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22M. Aater Suleman, Moinuddin K. Qureshi, Yale N. Patt Feedback-driven threading: power-efficient and high-performance execution of multi-threaded workloads on CMPs. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization, CMP, bandwidth, multi-threaded
22José A. Joao, Onur Mutlu, Hyesoon Kim, Rishi Agarwal, Yale N. Patt Improving the performance of object-oriented languages with dynamic predication of indirect jumps. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic predication, indirect jumps, object-oriented languages, predicated execution, virtual functions
22José A. Joao, Onur Mutlu, Hyesoon Kim, Yale N. Patt Dynamic Predication of Indirect Jumps. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Joel S. Emer, Mark D. Hill, Yale N. Patt, Joshua J. Yi, Derek Chiou, Resit Sendag Single-Threaded vs. Multithreaded: Where Should We Focus? Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple data stream architectures (multiprocessors), microarchitecture implementation considerations, processor architectures, computer systems organization, single data stream architectures
22Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt Diverge-Merge Processor: Generalized and Energy-Efficient Dynamic Predication. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic predication, adaptivity, energy efficiency, pipelining, instruction level parallelism, branch prediction, predication
22Joaquin A. Blaya, Sonya S. Shin, Martin J. A. Yagui, Gloria Yale, Carmen Z. Suarez, Luis Asencios, Peter Cegielski, Hamish S. F. Fraser A web-based laboratory information system to improve quality of care of tuberculosis patients in Peru: functional requirements, implementation and usage statistics. Search on Bibsonomy BMC Medical Informatics Decis. Mak. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt Profile-assisted Compiler Support for Dynamic Predication in Diverge-Merge Processors. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Moinuddin K. Qureshi, M. Aater Suleman, Yale N. Patt Line Distillation: Increasing Cache Capacity by Filtering Unused Words in Cache Lines. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Santhosh Srinath, Onur Mutlu, Hyesoon Kim, Yale N. Patt Feedback Directed Prefetching: Improving the Performance and Bandwidth-Efficiency of Hardware Prefetchers. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Yale N. Patt The Transformation Hierarchy in the Era of Multi-core. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Joaquin A. Blaya, Sonya S. Shin, Martin J. A. Yagui, Luis Asencios, Javier Vargas, Carmen Suares, Gloria Yale, Hamish S. F. Fraser Implementing and Evaluating a Laboratory Information System to Optimize the Treatment of Tuberculosis Patients in Peru. Search on Bibsonomy MedInfo The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Yale Fan A Generalization of the Deutsch-Jozsa Algorithm to Multi-Valued Quantum Logic. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Arushi Raghuvanshi, Yale Fan, Michal Woyke, Marek A. Perkowski Quantum Robots for Teenagers. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Moinuddin K. Qureshi, Aamer Jaleel, Yale N. Patt, Simon C. Steely Jr., Joel S. Emer Adaptive insertion policies for high performance caching. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF set dueling, set sampling, thrashing, replacement
22Hyesoon Kim, José A. Joao, Onur Mutlu, Chang Joo Lee, Yale N. Patt, Robert Cohn VPC prediction: reducing the cost of indirect branches via hardware-based dynamic devirtualization. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF devirtualization, indirect branch prediction, virtual functions
22Jean-Luc Gaudiot, Yale N. Patt, Kevin Skadron Foreword. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Hyesoon Kim, Onur Mutlu, Yale N. Patt, Jared Stark Wish Branches: Enabling Adaptive and Aggressive Predicated Execution. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wish branches, wish loops, branch prediction, predicated execution
22Onur Mutlu, Hyesoon Kim, Yale N. Patt Efficient Runahead Execution: Power-Efficient Memory Latency Tolerance. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory latency tolerance, processors, Runahead execution
22Onur Mutlu, Hyesoon Kim, Yale N. Patt Address-Value Delta (AVD) Prediction: A Hardware Technique for Efficiently Parallelizing Dependent Cache Misses. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF value prediction, memory-level parallelism, runahead execution, Single data stream architectures
22Deniz Özdemir, Enver Yücesan, Yale T. Herer Multi-location transshipment problem with capacitated transportation. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Moinuddin K. Qureshi, Yale N. Patt Utility-Based Cache Partitioning: A Low-Overhead, High-Performance, Runtime Mechanism to Partition Shared Caches. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Hyesoon Kim, M. Aater Suleman, Onur Mutlu, Yale N. Patt 2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Deniz Özdemir, Enver Yücesan, Yale T. Herer Multi-location transshipment problem with capacitated production and lost sales. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22John D'Ignazio, Jian Qin 0001, Yale M. Braunstein, Caroline Whitbeck, Mark Parsons, Timothy E. Eastman, Sherry Xie Access to scientific data: The Social and Technical Challenges and strategies. Search on Bibsonomy ASIST The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Mara Yale Optimizing Major Release Frequency Using Agile Practices. Search on Bibsonomy AGILE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Yale N. Patt Computer Architecture Research and Future Microprocessors: Where Do We Go from Here? Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Moinuddin K. Qureshi, Daniel N. Lynch, Onur Mutlu, Yale N. Patt A Case for MLP-Aware Cache Replacement. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Onur Mutlu, Hyesoon Kim, Jared Stark, Yale N. Patt On Reusing the Results of Pre-Executed Instructions in a Runahead Execution Processor. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Gordon W. Gifford III, Katherine A. MacLean, Marc D. Hauser, Yale E. Cohen The Neurophysiology of Functionally Meaningful Categories: Macaque Ventrolateral Prefrontal Cortex Plays a Critical Role in Spontaneous Categorization of Species-Specific Vocalizations. Search on Bibsonomy J. Cogn. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Using the First-Level Caches as Filters to Reduce the Pollution Caused by Speculative Memory References. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache filtering, speculative memory references, Caches, runahead execution, cache pollution
22Steve Jones 0001, Camille Johnson-Yale Professors online: The Internet's impact on college faculty. Search on Bibsonomy First Monday The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt An Analysis of the Performance Impact of Wrong-Path Memory References on Out-of-Order and Runahead Execution Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor performance modeling, speculative execution, runahead execution, Single data stream architectures
22Moinuddin K. Qureshi, Onur Mutlu, Yale N. Patt Microarchitecture-Based Introspection: A Technique for Transient-Fault Tolerance in Microprocessors. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Hyesoon Kim, Onur Mutlu, Jared Stark, Yale N. Patt Wish Branches: Combining Conditional Branching and Predication for Adaptive Predicated Execution. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Onur Mutlu, Hyesoon Kim, Yale N. Patt Address-Value Delta (AVD) Prediction: Increasing the Effectiveness of Runahead Execution by Exploiting Regular Memory Allocation Patterns. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Yale N. Patt A Unifying Theory of Distributed Processing (Or, The Chutzpah One Should Expect When You Invite a Microarchitect into Your Sandbox). Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Yale N. Patt The microprocessor of the year 2014: do Pentium 4, Pentium M, and Power 5 provide any hints? Search on Bibsonomy AICCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Moinuddin K. Qureshi, David Thompson, Yale N. Patt The V-Way Cache: Demand Based Associativity via Global Replacement. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Onur Mutlu, Hyesoon Kim, Yale N. Patt Techniques for Efficient Processing in Runahead Execution Engines. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Yale M. Braunstein Cost proxy models and telecommunications policy: a new empirical approach to regulation: Farid Gasmi, D. Mark Kennet, Jean-Jacques Laffont and William W. Sharkey, MIT Press, Cambridge, MA, xvi + 257. US$ 45.00 ISBN 0-262-07237-8. Search on Bibsonomy Inf. Econ. Policy The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Yale N. Patt, Sanjay J. Patel Introduction to computing systems - from bits and gates to C and beyond (2. ed.). Search on Bibsonomy 2004   RDF
22Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Understanding the effects of wrong-path memory references on processor performance. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor performance analysis, wrong path modeling, wrong-path memory references, speculative execution, data prefetching, execution-driven simulation, cache pollution
22David N. Armstrong, Hyesoon Kim, Onur Mutlu, Yale N. Patt Wrong Path Events: Exploiting Unusual and Illegal Program Behavior for Early Misprediction Detection and Recovery. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Brad Calder, Daniel Citron, Yale N. Patt, James E. Smith 0001 The future of simulation: A field of dreams. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Yale N. Patt Opening and keynote 1. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Cache Filtering Techniques to Reduce the Negative Impact of Useless Speculative Memory References on Processor Performance. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Onur Mutlu, Jared Stark, Chris Wilkerson, Yale N. Patt Runahead Execution: An Effective Alternative to Large Instruction Windows. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Yale Zhang, Michael Dudzic, Vit Vaculik Integrated monitoring solution to start-up and run-time operations for continuous casting. Search on Bibsonomy Annu. Rev. Control. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Onur Mutlu, Jared Stark, Chris Wilkerson, Yale N. Patt Runahead Execution: An Alternative to Very Large Instruction Windows for Out-of-Order Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Yale N. Patt The High Performance Microprocessor in the Year 2013: What Will It Look Like? What It Won't Look Like? Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Deniz Özdemir, Enver Yücesan, Yale T. Herer Freight simulation: a monte carlo simulation approach to the capacitated multi-location transshipment problem. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Paul Racunas, Yale N. Patt Partitioned first-level cache design for clustered microarchitectures. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF partitioned cache, clustered microarchitecture
22Yale N. Patt Teaching and teaching computer architecture: two very different topics: (some opinions about each). Search on Bibsonomy WCAE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Robert S. Chappell, Francis Tseng, Adi Yoaz, Yale N. Patt Microarchitectural support for precomputation microthreads. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Mary D. Brown, Yale N. Patt Using Internal Redundant Representations and Limited Bypass to Support Pipelined Adders and Register Files. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF redundant binary, limited bypass, pipelined register file, signed digit
22Stephen W. Melvin, Yale N. Patt Handling of packet dependencies: a critical issue for highly parallel network processors. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory synchronization, packet dependencies, parallel processing, network processors, processor architecture, thread level speculation, multithreaded processors, packet processing
22Robert S. Chappell, Francis Tseng, Yale N. Patt, Adi Yoaz Difficult-Path Branch Prediction Using Subordinate Microthreads. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high performance microprocessor, SSMT, microthread, branch prediction, microarchitecture, SMT, helper thread
22Yale N. Patt, Dirk Grunwald, Kevin Skadron (eds.) 29th International Symposium on Computer Architecture (ISCA 2002), 25-29 May 2002, Anchorage, AK, USA Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  BibTeX  RDF
22Yale N. Patt Requirements, bottlenecks, and good fortune: agents for microprocessor evolution. Search on Bibsonomy Proc. IEEE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Yale N. Patt, Josh Fisher, Paolo Faraboschi, Kevin Skadron (eds.) Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001 Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  BibTeX  RDF
22Mary D. Brown, Jared Stark, Yale N. Patt Select-free instruction scheduling logic. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Judith L. Gersting, Peter B. Henderson, Philip Machanick, Yale N. Patt Programming early considered harmful. Search on Bibsonomy SIGCSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Gregory R. Ganger, Marshall K. McKusick, Craig A. N. Soules, Yale N. Patt Soft updates: a solution to the metadata update problem in file systems. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Vimla L. Patel, André Kushniruk, Seungmi Yang, Jean-François Yale Research Paper: Impact of a Computer-based Patient Record System on Data Collection, Knowledge Organization, and Reasoning. Search on Bibsonomy J. Am. Medical Informatics Assoc. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Yale T. Herer, Levi Shalom The Kanban assignment problem - A non-integral approach. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Jared Stark, Mary D. Brown, Yale N. Patt On pipelining dynamic instruction scheduling logic. Search on Bibsonomy MICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Yale N. Patt Higher and Higher Performance Microprocessors: Are The Problems Just Too Hard To Solve? Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22David R. Kaufman, André Kushniruk, Jean-François Yale, Vimla L. Patel Conceptual knowledge and decision strategies in relation to hypercholesterolemia and coronary heart disease. Search on Bibsonomy Int. J. Medical Informatics The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Sanjay J. Patel, Daniel H. Friendly, Yale N. Patt Evaluation of Design Options for the Trace Cache Fetch Mechanism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF High bandwidth fetch mechanisms, wide issue machines, speculative execution, instruction cache, trace cache
22Yale T. Herer Submodularity and the traveling salesman problem. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22C. Dianne Martin, Elaine Yale Weltz From awareness to action: integrating ethics and social responsibility into the computer science curriculum. Search on Bibsonomy SIGCAS Comput. Soc. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Yale N. Patt Computer architecture education: mechanical engineers need it too. Search on Bibsonomy WCAE@HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Robert S. Chappell, Jared Stark, Sangwook P. Kim, Steven K. Reinhardt, Yale N. Patt Simultaneous Subordinate Microthreading (SSMT). Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Noam Kaminer, Yale M. Braunstein Bibliometric Analysis of the Impact of Internet Use on Scholarly Productivity. Search on Bibsonomy J. Am. Soc. Inf. Sci. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Eric Hao, Po-Yung Chang, Marius Evers, Yale N. Patt Increasing the Instruction Fetch Rate via Block-Structured Instruction Set Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Gregory R. Ganger, Yale N. Patt Using System-Level Models to Evaluate I/O Subsystem Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF storage subsystem, disk system, disk modeling, simulation, performance model, disk scheduling, system-level model, system simulation, I/O subsystems
22Elaine Yale Weltz A staged progression for integrating ethics and social impact: across the computer science curriculum. Search on Bibsonomy SIGCAS Comput. Soc. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt Putting the Fill Unit to Work: Dynamic Optimizations for Trace Cache Microprocessors. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Tse-Yu Yeh, Yale N. Patt Retrospective: Alternative Implementations of Two-Level Adaptive Training Branch Prediction. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Tse-Yu Yeh, Yale N. Patt Alternative Implementations of Two-Level Adaptive Branch Prediction. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Sanjay J. Patel, Marius Evers, Yale N. Patt Improving Trace Cache Effectiveness with Branch Promotion and Trace Packing. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Wen-mei W. Hwu, Yale N. Patt HPSm, a High Performance Restricted Data Flow Architecture Having Minimal Functionality. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Wen-mei W. Hwu, Yale N. Patt Retrospective: HPSm, a High Performance Restricted Data Flow Architecture Having Minimal Functionality. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Marius Evers, Sanjay J. Patel, Robert S. Chappell, Yale N. Patt An Analysis of Correlation and Predictability: What Makes Two-Level Branch Predictors Work. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Jared Stark, Marius Evers, Yale N. Patt Variable Length Path Branch Prediction. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Yale T. Herer, Robin Roundy Heuristics for a One-Warehouse Multiretailer Distribution Problem with Performance Bounds. Search on Bibsonomy Oper. Res. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Po-Yung Chang, Marius Evers, Yale N. Patt Improving branch prediction accuracy by reducing pattern history table interference. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Stéphan Jourdan, Jared Stark, Tse-Hao Hsing, Yale N. Patt Recovery requirements of branch prediction storage structures in the presence of mispredicted-path execution. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 784 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license