The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for crosstalk with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1976 (16) 1977-1983 (17) 1984-1990 (19) 1991-1993 (22) 1994-1995 (17) 1996 (16) 1997 (16) 1998 (23) 1999 (40) 2000 (66) 2001 (69) 2002 (92) 2003 (104) 2004 (131) 2005 (116) 2006 (147) 2007 (125) 2008 (118) 2009 (80) 2010 (76) 2011 (67) 2012 (70) 2013 (62) 2014 (79) 2015 (77) 2016 (76) 2017 (77) 2018 (78) 2019 (94) 2020 (80) 2021 (74) 2022 (81) 2023 (78) 2024 (14)
Publication types (Num. hits)
article(912) book(1) incollection(2) inproceedings(1390) phdthesis(12)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(101) OFC(84) ISQED(60) IEEE Trans. Commun.(58) IEEE Trans. Very Large Scale I...(56) VLSI Design(49) DATE(48) DAC(41) ICCAD(41) ISCAS(40) ECOC(36) ASP-DAC(35) CoRR(33) ICC(27) ICCD(26) ITC(26) More (+10 of total 502)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 720 occurrences of 377 keywords

Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Steven D. Corey, Andrew T. Yang Automatic netlist extraction for measurement-based characterization of off-chip interconnect. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF MCM substrate-level interconnect circuitry, SPICE netlist, automatic netlist extraction, linear circuits, measured time domain refectometry data, measurement-based characterization, microstrip circuits, multiport system, off-chip interconnect, reflection transmission, time-domain scattering parameters, user-specified cutoff frequency, delay, crosstalk, circuit simulator, multichip modules, nonlinear circuits
23Kai-Yuan Chao, D. F. Wong 0001 Signal integrity optimization on the pad assignment for high-speed VLSI design. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pad assignment, simultaneous swiching Noise, floorplanning, crosstalk, signal integrity, packaging
21Marti A. Motoyama, George Varghese CrossTalk: scalably interconnecting instant messaging networks. Search on Bibsonomy WOSN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnection, DHT, instant messaging, XMPP
21Debasish Das, William Scott, Shahin Nazarian, Hai Zhou 0001 An efficient current-based logic cell model for crosstalk delay analysis. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Basel Halak, Alexandre Yakovlev Bandwidth-Centric Optimisation for Area-Constrained Links with Crosstalk Avoidance Methods. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Yiteng Huang, Jacob Benesty, Jingdong Clien Generalized crosstalk cancellation and equalization using multiple loudspeakers for 3D sound reproduction at the ears of multiple listeners. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Partha Kanuparthy, Constantine Dovrolis, Mostafa H. Ammar Spectral probing, crosstalk and frequency multiplexing in internet paths. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF frequency multiplexing, signal processing, network management, fourier transform, active probing, distributed agents
21Raphael Cendrillon, George Ginis, Etienne Van den Bogaert, Marc Moonen A Near-Optimal Linear Crosstalk Precoder for Downstream VDSL. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, Cristian Grecu Addressing Signal Integrity in Networks on Chip Interconnects through Crosstalk-Aware Double Error Correction Coding. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Akira Mochizuki, Masatomo Miura, Takahiro Hanyu High-Performance Multiple-Valued Comparator Based on Active-Load Dual-Rail Differential Logic for Crosstalk-Noise Reduction. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Katherine Shu-Min Li, Chauchin Su, Yao-Wen Chang, Chung-Len Lee 0001, Jwu E. Chen IEEE Standard 1500 Compatible Interconnect Diagnosis for Delay and Crosstalk Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sampo Tuuna, Jouni Isoaho, Hannu Tenhunen Analytical model for crosstalk and intersymbol interference in point-to-point buses. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Saihua Lin, Huazhong Yang Worst Case Crosstalk Noise Effect Analysis in DSM Circuits by ABCD Modeling. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Martin Omaña 0001, José Manuel Cazeaux, Daniele Rossi 0001, Cecilia Metra Low-cost and highly reliable detector for transient and crosstalk faults affecting FPGA interconnects. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Katherine Shu-Min Li, Yao-Wen Chang, Chauchin Su, Chung-Len Lee 0001, Jwu E. Chen IEEE standard 1500 compatible interconnect diagnosis for delay and crosstalk faults. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21H. J. Kadim, Lacina M. Coulibaly EM-based analytical model for estimation of worst-case crosstalk noise. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Itisha Chanodia, Dimitrios Velenis Effects of crosstalk noise on H-tree clock distribution networks. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Partha Pratim Pande, Amlan Ganguly, Brett Feero, Benjamin Belzer, Cristian Grecu Design of Low power & Reliable Networks on Chip through joint crosstalk avoidance and forward error correction coding. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Shweta Chary, Michael L. Bushnell Analog Macromodeling for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Shweta Chary, Michael L. Bushnell Automatic Path-Delay Fault Test Generation for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sachin Shrivastava, Harindranath Parameswaran, Rajendra Pratap Design Partitioning for Reducing Crosstalk Analysis Time. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jun Chen 0008, Lei He 0001 Worst case crosstalk noise for nonswitching victims in high-speed buses. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Sandip Kundu, Sujit T. Zachariah, Yi-Shing Chang, Chandra Tirumurti On modeling crosstalk faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Daniele Rossi 0001, André K. Nieuwland, Atul Katoch, Cecilia Metra Exploiting ECC Redundancy to Minimize Crosstalk Impact. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ming Shae Wu, Chung-Len Lee Using a Periodic Square Wave Test Signal to Detect Crosstalk Faults. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yici Cai, Bin Liu 0007, Xiong Yan, Qiang Zhou 0001, Xianlong Hong A Hybrid Genetic Algorithm and Application to the Crosstalk Aware Track Assignment Problem. Search on Bibsonomy ICNC (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Lacina M. Coulibaly, H. J. Kadim Analytical crosstalk noise and its induced-delay estimation for distributed RLC interconnects under ramp excitation. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Carl Chun, Youngsik Hur, Moonkyun Maeng, Hyoungsoo Kim, Soumya Chandramouli, Edward Gebara, Joy Laskar A 0.18µm-CMOS near-end crosstalk (NEXT) noise canceller utilizing tunable active filters for 4-PAM/20Gbps throughput backplane channels. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hao Yu 0001, Lei He 0001 Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Zahid Khan, Tughrul Arslan, Ahmet T. Erdogan A Novel Bus Encoding Scheme from Energy and Crosstalk Efficiency Perspective for AMBA Based Generic SoC Systems. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj Postroute gate sizing for crosstalk noise reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Murat R. Becer, Ravi Vaidyanathan, Chanhee Oh, Rajendran Panda Crosstalk noise control in an SoC physical design flow. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jihong Ren, Mark R. Greenstreet Crosstalk Cancellation for Realistic PCB Buses. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Gabriella Trucco, Giorgio Boselli, Valentino Liberali A Study of Crosstalk Through Bonding and Package Parasitics in CMOS Mixed Analog-Digital Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21José Luis Rosselló, Jaume Segura 0001 A Compact Propagation Delay Model for Deep-Submicron CMOS Gates including Crosstalk. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Meeyoung Cha, Chun-Gi Lyuh, Taewhan Kim Resource-constrained low-power bus encoding with crosstalk delay elimination. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Kanak Agarwal, Dennis Sylvester, David T. Blaauw A simplified transmission-line based crosstalk noise model for on-chip RLC wiring. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Lei Wang, Sandeep K. Gupta 0001, Melvin A. Breuer Modeling and Simulation for Crosstalk Aggravated by Weak-Bridge Defects between On-Chip Interconnects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Tianpei Zhang, Sachin S. Sapatnekar Simultaneous Shield and Buffer Insertion for Crosstalk Noise Reduction in Global Routing. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Yinghua Li, Rajeev Murgai, Takashi Miyoshi, Ashwini Verma XTalkDelay: A Crosstalk-Aware Timing Analysis Tool for Chip-Level Designs. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Rajeev C. Nongpiur, Dale J. Shpak, Andreas Antoniou Tracking performance of an FDLMS near-end crosstalk canceller for xDSL systems. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Herng-Jer Lee, Chia-Chi Chu, Wu-Shiung Feng Moment Computations of Nonuniform Distributed Coupled RLC Trees with Applications to Estimating Crosstalk Noise. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Sreeram Chandrasekar, Sachin Shrivastava, Ajoy Mandal, Sornavalli Ramanathan An Efficient Approach to Crosstalk Noise Analysis at Multiple Operating Modes. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Soha Hassoun, Christopher Cromer, Eduardo H. Calvillo Gámez Static timing analysis for level-clocked circuits in the presence of crosstalk. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Ryon M. Smey, Bill Swartz, Patrick H. Madden Crosstalk Reduction in Area Routing. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Seung Hoon Choi, Kaushik Roy 0001 A New Crosstalk Noise Model for DOMINO Logic Circuits. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj Post-Route Gate Sizing for Crosstalk Noise Reduction. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Luca Macchiarulo, Enrico Macii, Massimo Poncino Wire Placement for Crosstalk Energy Minimization in Address Buses. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Marong Phadoongsidhi, Kim T. Le, Kewal K. Saluja A Concurrent Fault Simulation for Crosstalk Faults in Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Wichian Sirisaengtaksin, Sandeep K. Gupta 0001 Enhanced Crosstalk Fault Model and Methodology to Generate Tests for Arbitrary Inter-core Interconnect Topology. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Keith J. Keller, Hiroshi Takahashi, Kim T. Le, Kewal K. Saluja, Yuzo Takamatsu Reduction of Target Fault List for Crosstalk-Induced Delay Faults by using Layout Constraints. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Michael Redeker, Bruce F. Cockburn, Duncan G. Elliott An Investigation into Crosstalk Noise in DRAM Structures. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Li Ding 0002, David T. Blaauw, Pinaki Mazumder Efficient crosstalk noise modeling using aggressor and tree reductions. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Pinhong Chen, Yuji Kukimoto, Kurt Keutzer Refining switching window by time slots for crosstalk noise calculation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Hamidreza Hashempour, Yong-Bin Kim, Nohpill Park A Test-Vector Generation Methodology for Crosstalk Noise Faults. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Abby A. Ilumoka Chip Level Signal Integrity Analysis & Crosstalk Prediction Using Artificial Neural Nets. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Rahul Kundu, R. D. (Shawn) Blanton Timed Test Generation Crosstalk Switch Failures in Domino CMOS Circuits. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Martin Kuhlmann, Sachin S. Sapatnekar Exact and efficient crosstalk estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Frank K. Hwang, Wen-Dar Lin A General Construction for Nonblocking Crosstalk-Free Photonic Switching Networks. Search on Bibsonomy ICPP Workshops The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Qingjian Yu, Ernest S. Kuh New Efficient and Accurate Moment Matching Based Model for Crosstalk Estimation in Coupled RC Trees. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Pirouz Bazargan-Sabet, Fabrice Ilponse A Model for Crosstalk Noise Evaluation in Deep Submicron Processes. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21J. Briaire, K. S. Krisch Principles of substrate crosstalk generation in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Andreas Herrmann, Erich Barke, Mathias Silvant, Jürgen Schlöffel PARCOURS - Substrate Crosstalk Analysis for Complex Mixed-Signal-Circuits. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Matthias Ringe, Thomas Lindenkreuz, Erich Barke Static Timing Analysis Taking Crosstalk into Account. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Chauchin Su, Yue-Tsang Chen Crosstalk Effect Removal for Analog Measurement in Analog Test Bus. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Analog Test Bus, Design for Testability, Analog Test, Mixed Signal Test
21Michele Favalli, Cecilia Metra Bus crosstalk fault-detection capabilities of error-detecting codes for on-line testing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Pinhong Chen, Kurt Keutzer Towards true crosstalk noise analysis. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi Efficient Crosstalk Estimation. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Antonio Rubio 0001, Noriyoshi Itazaki, Xiaole Xu, Kozo Kinoshita An approach to the analysis and detection of crosstalk faults in digital VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Qiang Gao, Yin Shen, Yici Cai, Hailong Yao Analog circuit shielding routing algorithm based on net classification. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog routing, shielding routing, A* algorithm
17Mohammad Reza Kakoee, Igor Loi, Luca Benini A new physical routing approach for robust bundled signaling on NoC links. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF NoC global link routing, bundled routing, delay matching, pin placement, robust signaling, wire length variability, bus routing
17Edward R. Dougherty, Marcel Brun, Jeffrey M. Trent, Michael L. Bittner Conditioning-Based Modeling of Contextual Genomic Regulation. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Microarray, regulatory networks
17Shunsuke Hayashi, Zhi-Quan Luo Spectrum Management for Interference-Limited Multiuser Communication Systems. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Andrei V. Sazonov, Chin Keong Ho, Jan W. M. Bergmans, Johan B. A. M. Arends, Paul A. M. Griep, Evgeny A. Verbitskiy, Pierre J. M. Cluitmans, Paul A. J. M. Boon An investigation of the phase locking index for measuring of interdependency of cortical source signals recorded in the EEG. Search on Bibsonomy Biol. Cybern. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cortical sources, Model, Correlation, EEG, Coupling, Interdependency, Contrast, Distribution function, Phase locking
17Charbel J. Akl, Magdy A. Bayoumi Reducing Interconnect Delay Uncertainty via Hybrid Polarity Repeater Insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Benny B. Nasution, Asad I. Khan A Hierarchical Graph Neuron Scheme for Real-Time Pattern Recognition. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Paschalis Tsiaflakis, Marc Moonen Low-complexity dynamic spectrum management algorithms for digital subscriber lines. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Eitan Altman, Konstantin Avrachenkov, Andrey Garnaev Closed Form Solutions for Symmetric Water Filling Games. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yen-Liang Chen, Cheng-Zhou Zhan, An-Yeu Wu Cost-effective echo and NEXT canceller designs for 10GBASE-T ethernet system. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Srinivasa R. Sridhara, Naresh R. Shanbhag Coding for Reliable On-Chip Buses: A Class of Fundamental Bounds and Practical Codes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Raphael Cendrillon, Jianwei Huang 0001, Mung Chiang, Marc Moonen Autonomous Spectrum Balancing for Digital Subscriber Lines. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Amol V. Patil, Soumyo Mukherji, Uday B. Desai Optimal Objective Functional Selection for Image Reconstruction in Diffuse Optical Tomography. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Tudor Murgan, Petru Bogdan Bacinschi, Sujan Pandey, Alberto García Ortiz, Manfred Glesner On the Necessity of Combining Coding with Spacing and Shielding for Improving Performance and Power in Very Deep Sub-micron Interconnects. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Torsten W. Kuhlen, Ingo Assenmacher, Tobias Lentz A True Spatial Sound System for CAVE-Like Displays Using Four Loudspeakers. Search on Bibsonomy HCI (14) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Spatial Acoustics, Binaural Synthesis, Virtual Reality, 3D Audio
17Eitan Altman, Konstantin Avrachenkov, Andrey Garnaev Closed form solutions for water-filling problems in optimization and game frameworks. Search on Bibsonomy VALUETOOLS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ajay K. Katangur, Somasheker Akkaladevi Message Routing and Scheduling in Optical Multistage Networks using Bayesian Inference method on AI algorithms. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hanif Fatemi, Behnam Amelifard, Massoud Pedram Power optimal MTCMOS repeater insertion for global buses. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MTCMOS circuits, low-power design, buffer insertion
17Vineet Wason, Rajeev Murgai, William W. Walker An Efficient Uncertainty- and Skew-aware Methodology for Clock Tree Synthesis and Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David T. Blaauw Statistical interconnect metrics for physical-design optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jungwon Lee, Seong Taek Chung, John M. Cioffi Optimal Discrete Bit Loading for DMT-Based DSL Systems With Equal-Length Loops. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Akira Mochizuki, Takahiro Hanyu Highly reliable Multiple-Valued Circuit Based on Dual-Rail Differential Logic. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Usha Narasimha, Binu Abraham, N. S. Nagaraj Statistical Analysis of Capacitance Coupling Effects on Delay and Noise. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17N. Venkateswaran 0002, S. Balaji, V. Sridhar Fault tolerant bus architecture for deep submicron based processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deep submicron technology, fault tolerance, interconnect, electromigration
17Rajeev R. Rao, Harmander Deogun, David T. Blaauw, Dennis Sylvester Bus encoding for total power reduction using a leakage-aware buffer configuration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Guoqing Chen, Eby G. Friedman An RLC interconnect model based on fourier analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Eleftherios Karipidis, Nicholas D. Sidiropoulos, Amir Leshem, Youming Li Experimental evaluation of capacity statistics for short VDSL loops. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Alvaro R. De Pierro, Fabiana Crepaldi Simultaneous activity attenuation reconstruction in positron emission tomography via maximum likelihood and iterative methods. Search on Bibsonomy ICIP (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Siu-Cheung Chau, Tiehong Xiao, Ada Wai-Chee Fu Routing and Scheduling for a Novel Optical Multistage Interconnection Network. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17David K. Karig, Jerome Ku, Ron Weiss Engineering Multi-signal Systems for Complex Pattern Formation. Search on Bibsonomy CSB Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Haihua Su, David Widiger, Chandramouli V. Kashyap, Frank Liu 0001, Byron Krauter A noise-driven effective capacitance method with fast embedded noise rule calculation for functional noise analysis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF glitch propagation, noise analysis, effective capacitance
Displaying result #401 - #500 of 2317 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license